• 통큰쿠폰이벤트-통합
  • 통합검색(468)
  • 리포트(458)
  • 시험자료(7)
  • 자기소개서(3)

"1-bit Full-Adder" 검색결과 61-80 / 468건

  • 전기및디지털회로실험 실험6 예비보고서
    가산기는 반가산기(HA;half adder)와 전가산기(FA;full adder)로 구분할 수 있다. ... docId=2841956&cid=40942&categoryId=32830[네이버 지식백과] 전가산기 [full adder] (두산백과 두피디아, 두산백과)[네이버 지식백과] 가산기 ... 반가산기는 2진수로 나타낸 수들을 1비트씩 합하여 그 결과로 1비트의 합과 1비트의 자리올림(carry)을 발생하는 회로이지만, 일정한 수의 비트로 나타낸 수의 가산은 불가능하며 자리올림은
    리포트 | 16페이지 | 1,000원 | 등록일 2023.06.30
  • [부산대학교][전기공학과][어드벤처디자인] 9장 4비트 Binary Adder, 2's Complement 4비트 Adder / Substrator 연산회로(9주차 결과보고서) A+
    -반가산기(Half adder) : 가장 간단한 형태의 가산기, 캐리 올림이 없는 특수한 경우에만 사용-전가산기(Full adder) : 하위에서 올라온 자리올림수를 포함하여 계산하는 ... Binary 4-Bit 가/감산기를 구성하고 동작을 파악한다.실험 방법TTL IC를 이용하여 그림 9.1의 회로를 구성한다. ... 이해를 바탕으로 Binary 4-Bit 가/감산기를 이해한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.25
  • 아날로그 및 디지털회로설계실습 9 부울대수 및 조합논리회로 과제
    4-bit Adder 회로 설계 과제1. ... XOR gate를 이용한 Full Adder 회로를 Pspice를 사용하여 직접 설계하시오.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.02
  • 조합논리회로(전가산기,반가산기)
    전가산기 (Full-adder)가산 기능. ... 반가산기 (Half-adder)피가수(B) 및 가수(A) 두 개의 입력을 받아 올림수(C)의 합(S)과 새로운 올림수 두 개의 출력을 출력하는 가산, 즉 두 비트를 더하여 합과 올림수를 ... 논리회로 및 실습예비 레포트1. 제 목 : 조합논리회로(전가산기/반가산기)2. 내 용 :1.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 충북대 디지털시스템설계 결과보고서2
    그리고 지난주에 설계한 four_bit_full_adder 코드를 불러와 연산들을 실행한다. ... , c2, c3과 4-bit s1, s2, s3, and1, and2, and3, and4이다. assign 문을 통해 and1 ~ and4를 기술하였는데, and1은 4-bit m에 ... 출력값을 나타낸다.4-bit Multiplier 코드input은 곱할 4-bit 수인 m과 q이고 output은 두 4-bit의 곱이므로 8-bit p로 설정한다. wire는 c1
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 9. 4-bit Adder 회로 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 9 결과보고서-4-bit Adder 회로 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :9-4. ... -3 설계한 전가산기 회로의 구현(2-비트 전가산기 회로)설계실습계획서에서 그린 2-Bit 전가산기 회로를 스위치와 LED를 추가하여 설계 및 구현한다. 4가지 다른 입력 값에 대해 ... Adder로 구성된 2-bit 전가산기를 구성하여 4개의 입력단자를 변화할 때 제대로 구현이 되는지 확인하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.07
  • 디지털시스템설계 2주차 과제
    • Discussion이번 시간은 1-Bit Full Adder 와 8-to-1 MUX 를 베릴로그로 구현하는 것이었다. ... 하지만, s[2], s[1], s[0]를 입력할 때 반대로 입력하여, wave form을 출력했을 때 Y 값이 올바르게 출력되지 않아 한참을 고민했고, 원인은 s[2], s[1],
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.20 | 수정일 2023.03.27
  • 시립대 전전설2 Velilog 결과리포트 4주차
    올림 입력 비트를 추가시킨 회로).(3) 4비트 가산기 : 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자 ... 이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다 ... 이는 full-adder를 만들기 위해 half- adder를 만든 원리와 같다. subtractor는 x, y를 input으로 넣어주고 always문을 사용하기 위해 reg를 설정하였다
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    Full adderc1 -> carry input, c -> output에서의 carry실험 시 full adder의 동작을 확인해본 결과 덧셈이 잘 이뤄지는 것을 확인할 수 있었다 ... 실험개요1) 4비트 곱셈기의 구조와 원리를 이해한다.2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다2. 퀴즈 답안지 및 정답-퀴즈 없음3. 실험노트-실험 노트 없음4. ... 결론 및 검토사항Full adder, half adder와 and gate의 기능을 이용하여 multiplier를 설계하였다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    Ct가 0이면 가산기이고 1이면 감산기이다. - IC 7483 : 4비트 병렬 가산기3. ... XOR 게이트의 특성을 이용하여 두 회로를 하나로 합쳐서 반가감산기 회로 구성2) 프로그래머블 전 가감산기 (FAS: full adder and subtracter)3) 병렬 가/감산기1 ... 관련 이론1) 프로그래머블 반 가/감산기(HAS: half adder and subtracter)1. A입력의 반전 유무에 따라 가산기와 감산기로 동작2.
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    full adder1bit full adder pin설정5. ... 다음의 1-bit full adder 회로를 gate primitive 방법으로 설계하시오.(1) Verilog HDL와 simulationㅁGate_Primitive를 통한 1-bit ... bit full adder의 logic diagram이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (기초회로 및 디지털실험) 4비트 전감가산기 설계 [4 bit adder-subtractor]
    디지털실험설계 02.실험제목 : 4비트 전감가산기 설계 [4 bit adder-subtractor]Ⅰ 설계과정4비트 전가산기와 전감산기의 원리를 이해한다.조건 : TTL IC (SN7400 ... 수 있도록 만든 회로로, 2개의 비트 A와 B를 더해 합 S와 자리올림 Cout를 출력하는 조합회로이고, 전가산기(full adder)란 2개의 비트 A, B와 밑자리로부터의 자리올림 ... 이를 토대로 진리표를 작성하면 다음과 같다.AnBnCn-1CnSn0*************10111010001101101101011111전감산기란 입력 변수 3자리의 뺄셈에서 차와
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • VHDL-1-가산기,감산기
    포트의 입출력을 지정한다. 8bit가 필요하므로 7~0 총 8개의 비트를 할당했다.--1을 넣으면 감산기 역할을 하는 회로를 만들 수 있다. ... _4bit;architecture sample of adder_substractor_4bit iscomponent fulladder_hdl isport (fa : in std_logic ... _1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity adder_substractor_4bit
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -전가산기(Full Adder) : 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 것이 가능한 논리회 로이다. ... 플립플롭(Flip-Flop) : 1비트를 기억하는 논리회로이다. 전원이 공급되는 한, 상태의 변화를 위한 신호(클럭) 가 발생할 때까지 현재의 상태를 유지하는 논리회로이다. ... -병렬 가감산기(Parallel Adder-Subtracter) : 여러 자리의 2진수를 더하고 빼기 위한 연산회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 시립대 전전설2 A+ 1주차 예비레포트
    bit 반가산기(half adder)와 전가산기(full adder)의 논리회로도 및 동작 원리반가산기의 논리회로도는 아래 그림과 같다. ... 총 7개의 시리즈가 있으며 다음 표와 같이 구분할 수 있다.4) 반가산기(Half Adder)2개의 입력 비트와 2개의 출력 비트를 가지며, 출력 비트는 입력 비트를 더하여 합(S) ... In-Lab 실험 내용 및 예상결과3.1.
    리포트 | 16페이지 | 2,000원 | 등록일 2024.09.08
  • 6장 가산기와 ALU 그리고 조합논리회로 응용 예비
    전가산기(Full Adder)두 개의 이진수와 아래 자리에서 발생한 자리올림수를 더해주는 회로를 전가산기라 한다. ... 반가산기(Half Adder)1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. ... 또한 4비트 기능선택 입력과 1비트 모드 선택 단자(M)가 있으며,각 비트의 조합으로 원하는 산술 및 논리연산을 수행할 수 있다. 74X181의 기능을 표 6-3에 나타내었다.3)74181ALU의
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    먼저 1 bit Full adder와 2x1 multiplexer, 4x1 multiplexer를 만들었는데 처음 사용해보는 프로그램이라 사용이 미숙하여 시간이 좀 오래 걸리긴 했지만 ... 실험 12. 4-비트 산술논리회로(결과보고서)실험 결과(1) Pspice를 이용하여 의 (a)와 같이 1비트 전가산기를 그리고 시뮬레이션을 한 다음 (b)와 같이 심볼화 하라.(2) ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로를 설계해 보고, 최종적으로 4-bit 산술논리회로를 설계하여 시뮬레이션을 해 보는
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 전가산기에 대한 덧셈의 원리
    /" http://ehpub.co.kr/tag/%EC%A0%84%EA%B0%80%EC%82%B0%EA%B8%B0full-adder/전자형, 5-1강. ... 가산기(Adder), 언제나 휴일 출판사 사이트, Hyperlink "http://ehpub.co.kr/tag/%EC%A0%84%EA%B0%80%EC%82%B0%EA%B8%B0full-adder ... 먼저 5와 3을 2의 보수로 표현하면 각각 0101, 0011으로 4비트의 두 수의 덧셈이 된다.
    리포트 | 6페이지 | 2,500원 | 등록일 2020.12.22
  • 전전설2 3주차 실험 결과레포트
    때 LED1, LED9에 불이 들어왔다 밑에 첨부한 사진들은 실제로 Full Adder 회로를 만들어서 3개 모두 눌렀을 때의 LED의 상태를 보여주는 사진이다.6. ... 밑에 첨부한 사진들은 왼쪽부터 실제로 AND 게이트 회로를 만들어서 스위치를 모두 누르지 않거나 눌렀을 때의 LED의 상태를 보여주는 사진이다.LED가 작동했을제로 Full Adder ... 1110실험 2101실험 3011실험 4000실제로 스위치1, 스위치2를 입력, LED를 출력으로 봤을 때 실험결과가 XOR 게이트의 입력에 따른 출력의 결과와 일치한다.4-bit
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    Full Adder (16)Ⅲ. 결론 (18)Ⅳ. 참고문헌 (19)TTL Gates Lab on Breadboardpost-lab reportⅠ. 서론1. ... Full Adder (11)3. 실험 결과 (12)3.1. OR Gate (12)3.2. XOR Gate (13)3.3. Half Adder (14)3.4. ... Full Adder전가산기는 이진수의 한 자릿수를 연산하고, 하위의 자리 올림수 입력을 포함하여 출력한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대