• 통큰쿠폰이벤트-통합
  • 통합검색(1,230)
  • 리포트(1,174)
  • 시험자료(39)
  • 논문(5)
  • 자기소개서(5)
  • 방송통신대(5)
  • ppt테마(2)

"4×10 Decoder" 검색결과 61-80 / 1,230건

  • 실습 12. Stopwatch 설계 예비보고서
    설계실습 계획서설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~ 12-4-4 에 필요한 회로 결선도를 그리시 오. ... 74LS47 : 3개BCD 카운터 74HC192P : 3개counter 74HC90 : 6개가변저항 1MΩ : 1개가변저항 10KΩ : 2개저항 330Ω, 1/2W, 5% : 21개Switch ... 8개NAND gate 74HC00 : 3개NOR gate 74HC02 : 3개AND gate 74HC08 : 3개OR gate 74HC32 : 3개7-Segment : 3개BCD Decoder
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... (C) 이상의 과정이 완료 되면 조교의 확인을 받는다.12-4-3 3자리 숫자 표시(시간표현) 카운터 설계(A) 10진 카운터 3개를 연결, 3자리 카운터를 만든다. ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • [논리회로실험] Decoder & Encoder 예비보고서
    Decoder Truth tableI _{1}I _{0}O _{3}O _{2}O _{1}O _{0}0000010100101001001110002) Encoding- 10진수나 8진수를 ... 10진 / Excess-3 코드- 주어진 회로를 구성한다.- 입력 값을 변경해가며 변화를 관찰한다.- 예상결과S _{5}S_{4}S_{3}S_{2}S_{1}S_{0}D _{4}D _ ... 실험과정 및 예상 결과1) 실험 1 : 2X4 Dencoder- 주어진 회로를 구성한다.- 입력 값을 00, 01, 10, 11 으로 변경해가며 변화를 관찰한다.- 예상결과ABD _
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    오늘 실험할 Encoder/Decoder, Mux/Demux 또한 조합논리회로이다.Encoder인코더는 부호기로 10진을 2진으로 바꾸는 역할을 한다. ... 해독기로 2진을 10진으로 바꾸는 역할을 한다. ... benchsimulationpinView Technology Schematic 2:4 Decoder(1) 4:2 Encoder1) Gate primitive 방법Gate primitive이용한4
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    Result of this lab(1) [실습 1] 2:4 Decoder를 설계하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 2:4 Decoder의 ... 동작을 확인하는 모습 (좌측 상단에서부터 차례로 입력 a[1:0]의 값이 00, 01, 10, 11)- 실험 결과: 입력은 A0(Button SW1), A1(Button SW2) ... - N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의 출력선을 갖는 디코더(5)
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    to bcd 알고리즘은 2진법 입력들을 2진화 된 10진법 표기로 나타내는 알고리즘이다. 0~9까지의 수를 2진법으로 표현할 수 있는 4비트 변수를 표현할 10진법 수의 자릿수의 ... 즉 사용하는 7-segment가 4개면 common단자 역시 4개이다. ... 입력받은 숫자에 대응하는 출력값을 내기 위해 Decoder의 형태를 하는 것을 알 수 있다.테스트벤치 결과 앞서 decoder에서 설정했던 것과 일치하는 파형이 나왔다.2.
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    C3);assign B4 = [C2[2],C2[1],C2[0],A[1]];assign B5 = [C3[2],C3[1],C3[0],C2[3]];Line_decoder L4(B4, C4 ... 이제 기본 Line Decoder를 이용해 4bit binary-to-BCD 컨버터를 설계한다. 이는 다음과 같다.2. ... 이 때 10의 자리는 올림수가 되고, 1의 자리는 000x로 나타난다.10진수, 2진수, BCD 관계 표10 진수2 진수10의 자리1의 자리BCDdisplayBCDdisplay000000000
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이것을 2진화 10진수 표기법이라고 부른다. 십진법에서는 10개의 수가 있으므로 수를 표현하기위해서는 4개의 비트가 필요하다. ... 그림 4의 회로는 2진수를 2진화 10진수 (binary coded decimal, BCD)로 변환을 수행하는 회로이다. ... 이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    회로도를 보면 Confirm Button을 가운데 7490 10진 Counter의 CLKA에 연결하였다. 7490 10진 Counter는 바로 74138 Decoder와 연결되어 있는데 ... Decoder의 Y3, Y4의 출력은 3번째 4번째 입력에 대한 처리와 관련되어 있다. ... 성공부분의 Preset은 Vcc와 연결되어 동작하지 않도록 하고, 실패부분의 Preset은 4번째 Decoder 출력 Y4와 연결하여 4번째 Confirm출력이 입력 시 실패를 뜻하는
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 울산대학교 디지털실험결과24 디지털 조합 논리회로와 순서 논리회로
    실험 결과(1) 조합회로스위치 0001일 경우 decoder에 표시되는 값 1스위치 0100일 경우 decoder에 표시되는 값 4스위치 0111일 경우 decoder에 표시되는 값 ... 전압 변화를 통해 출력값이 0과 1을 확인할 수 있는 실험이 되겠지만, 이번 실험에서는 원하는 결과값이 나오지 않았다, 실험에서 정상적으로 작동하지 않은 요인을 살펴보자면 IC칩 10개의 ... 반대로 공통 Cathod일 경우 LED의 -극끼리 묶어 GND로 연결하고, +극에 GND 또는 Vcc를 연결하여 LED의 발광을 확인한다.(2) 동기식 순서회로그림 24-4 입력순서를
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    넣으면 10진법까지만 표기되므로 이상한 값이 출력된다.사진 12. 4.2.4 실험 - BCD to 7-segment decoder 7447사진 13. 4.2.4 실험 - 기판의 7 ... ‘0111’이 되어 7-segment 5161 숫자 7을 나타 낼 수 있다.사진 10 4.1.2 실험(3) BCD to 7-segment decoder 7447의 ���눙穗�을 테스트 ... 9. 4.2.1 실험(2) BCD to 7-segment decoder 7447을 이용하여 7-segment 5161에 숫자 7를 표시하시오.그림 a. 4.2.2 logic works
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 12차 예비보고서
    실습 계획서설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~ 12-4-4 에 필요한 회로 결선도를 그리시오. ... 4개의 입력단자에 연결한다. ... 준비물실습 준비물부품Inverter 74HC04NAND gate 74HC00NOR gate 74HC02AND gate 74HC08OR gate 74HC327-SegmentBCD Decoder
    리포트 | 6페이지 | 1,000원 | 등록일 2024.02.17
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    [그림 4]I _{ 1I _{ 0=00I _{ 1I _{ 0=01I _{ 1I _{ 0=10I _{ 1I _{ 0=11[그림 5][그림 5]의 LED 점등 결과와 [표 1]의 진리표가 ... 실험 목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment의 동작 원리를 이해한다.3) Priority encoder의 동작 원리를 이해한다.4 ... 실제 구현 회로는 [그림 10]과 같다.[그림 9][그림 10]입력에는 BCD 코드가 들어가며 DIP_SW[3] ~ DIP_SW[0]을 이용하여 입력을 조정하 였다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 8주차 예비 - 인코더와 디코더 회로
    기초회로실험1전자공학부10주차실험제목 : 인코더와 디코더 회로실험목적 : (1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment ... 그리고 회로를 설계하는 입장에서는 디코더라 칭할수 있지만 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기이다. ... 한다.(1) 디코더(Decoder) : 디코더는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력논리 회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 예비보고서
    wikipedia, (2020.10.02.), (2020.10.02.), ‘Decoder’, https://en.wikipedia.org/wiki/Decoder? ... (1-of-10))논리 다이어그램진리표InputOutputA1A2A3A4bar{Y} _{0}bar{Y _{1}}bar{Y _{2}}bar{Y _{3}}bar{Y _{4}}bar{Y ... Decoder & Encoder1. 회로 결선도※ 이때, 다이오드 출력에는 저항이 연결되어 있음을 가정한다.2.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 한양대 Decoder & 7-Segment
    관련 이론이번에 사용하는 74LS47 소자는 7-Segement 1개를 사용하기 위해선 10개의 핀이 필요하지만, 4개의 핀만으로도 나타날 수 있게 해준다. 74LS47와 비슷한 소자로 ... 실험 목적7-Segment와 74LS47 (BCD to 7-Segment Decoder)의 정의를 이해한 후, Pin map과 Truth table을 이용한 결과에 LED BAR를
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 디시털시스템실험 - 디코더 설계 결과보고서
    */이때 st1은 4 to 16 decoder로써, 그 코드는 아래와 같다. ... */이때, TO24 는 2 TO 4 Decoder이며, 아래와 같다.시뮬레이션 결과는 다음과 같다.가장 처음구간의 신호는 0000으로 입력이 된다.입력은 A3,A2,A1,A0이며 A3이 ... 인자로는 괄호 안을 전달받는다.line 8 ; P5~P9를 논리합하는 or게이트 G3을 정의한다.line 9 ; or게이트 G2를 정의한다.line 10 ; or게이트 G1를 정의한다.line
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.14
  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 예비 보고서
    [그림 7][그림 8][그림 9][그림 10]4) Address decoding메모리 소자의 저장 용량보더 더 큰 크기의 저장 용량을 갖는 메모리가 필요한 경우, 메모리 소 자들을 ... SRAM에 데이터를 읽을 때와 쓸 때의 timing을 각각 [그림 9], [그림 10]에 나타내었다. ... 구조는 [그림 4]와 같으며 각 Pin의 기능을 [그림 5]에 나타 내었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment decoder 는 0~9 까지 10개의 숫자를 나타내기 위해 4개의 ... 실습 활용 방안- 10진 카운터 칩과 7-Segment Decoder, 7-Segment LED 를 연결하여 Stopwatch 회로를 설계하였다. ... 실습 계획서설계실습 방법에 나온 Stopwatch 제작 과정 중, 12-4-1 ~ 12-4-4 에 필요한 회로 결선도를 그리시오.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    .‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 10라. ... Single FND는 decoder의 방식, FND array는 clk으로 출력을 계속 변경해주며 decoder를 활용하는 방식을 활용해보았습니다.이러한 구현을 하며 장치 제어를 하는 ... Results of Lab 4‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 12마.
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대