• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(780)
  • 리포트(753)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 61-80 / 780건

  • 4bit Full Adder (4비트 전가산기 구현) Verilog Design
    ★ FA( Full Adder : 전가산기 )반가산기는 2진수의 한 자릿수만 계산할 수 있다.n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하는데
    리포트 | 6페이지 | 2,000원 | 등록일 2009.11.12 | 수정일 2020.09.10
  • 설계실습 10. 4-bit Adder 회로 설계
    11. 12설계실습 10. 4-bit Adder 회로 설계아날로그 및 디지털 회로1목 차1부울 대수2디지털 시스템34-bit Adder (74LS83)4설계실습 계획2부울 대수부울 ... 입력의 논리 레벨에 따라 출력 결정10Undefined44-bit Adder (74LS83)4-bit Adder (74LS83)설계실습 계획(1) 전가산기(Full Adder)에 대한 ... XOR gate를 이용하여 보다 간소화9설계실습 계획(4) XOR gate를 이용하여 보다 간소화10설계실습 계획(5) 4-bit Adder 회로를 설계11감사합니다.12{nameOfApplication
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.12
  • VHDL을 활용한 4비트 Ripple Carry Adder(덧셈기) 프로젝트
    선언port(subtract : in std_logic; --(-) 연산이 가능하도록 설정해주는 입력값x,y : in std_logic_vector (3 downto 0); --4bit ... (1) 1bit-RCA Truth TablexiyicisiCout0*************00110110010101011100111111(2) SOPs canonical form① ... downto 0); -- 1bit 간의 연결 신호signal y_complement : std_logic_vector(3 downto 0); -- 보수 신호beginC(0)
    리포트 | 7페이지 | 1,000원 | 등록일 2012.03.20
  • 4 Bit Ripple Carry Adder 제작 (VHDL)
    ◆ Title : 4 Bit Ripple Carry Adder 제작◆ VHDL Code-- ::: micro_01.vhd :::- component 를 이용하여 하나의 1비트 full ... OR, AND, OR 게이트를 사용하여 Full Adder 제작.◆ Schematic Still Image- 4개의 full adder 사용.- 인풋은 4비트 짜리 InA, InB ... 이번 과제는 4비트 전가산기를 제작하는 것 이었다. 4비트 전가산기를 제작하기 위해, 먼저 1비트 전가산기 하나를 미리 제작해 놓고, component를 이용하여 각 핀을 서로 이어
    리포트 | 4페이지 | 1,000원 | 등록일 2008.12.26
  • [예비]4-bit Adder 회로 설계
    설계실습 10. 4-bit Adder 회로 설계1. 목적조합논리회로의 설계 방법을 이해하고, 조합논리 회로의 한 예로 가산기 회로를 설계한다.2. ... bit binary adder (74LS83) 1개LED 10개Toggle Switch 15개점퍼선 다수3. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계하여라.(5) 4-비트 가산기 회로를 위의 전가산기 회로를 이용해 설계하여라.(4)번에서 구성했던 전가신기를
    리포트 | 3페이지 | 1,500원 | 등록일 2008.11.16
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (결과) 설계실습10-(4-bit Adder 회로 설계)
    (A) 본 설계실습에서 무엇을 하였으며 그 결과는 어떤가? 수치를 포함하여 요약한다. 본 실험은 조합논리회로의 설계방법을 기본으로 조합논리회로를 설계하는 것을 기본으로한다. 결과 값은 0.2%이하의 오차를 기록하며 예상 값과 매우 일치하게 나왔다.(B) 설계실습계획서에..
    리포트 | 3페이지 | 1,000원 | 등록일 2017.12.17
  • 중앙대 아날로그 및 디지털 회로 설계실습 3학년 2학기(성적인증) (예비) 설계실습10-(4-bit Adder 회로 설계)
    실습 목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.이론부 요약부울 대수 : 논리학을 수학적으로 해석하기 위해 고안 되었으며 변수, 상수, 연산자, 기본 공리 및 정리로 구성된다. 0과 1로 표현하며 변수는 일반적으로 A, B,..
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.17
  • 설계실습 10. 4-bit Adder 회로 설계 예비
    설계실습 10. 4-bit Adder 회로 설계1. 목 적조합 논리회로의 설계 방법을 이해하고 조합 논리회로의 한 예로 가산기 회로를 설계한다.2. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리회로를 설계하여라.(5) 4-bit Adder 회로를 위의 전가산기 회로를 이용해 설계하여라. ... bit binary adder(74LS83) 1개- LED 10개- Toggle Switch 15개- 점퍼선 다수3.
    리포트 | 3페이지 | 1,500원 | 등록일 2010.11.12
  • 설계실습 10. 4-bit Adder 회로 설계 결과
    설계실습 10. 4-bit Adder 회로 설계1. ... - 디지털 로직을 통해 Full Adder를 구현하였고 LED를 통해 출력을 눈으로 확인할 수 있어 유익한 실험이었다. ... 측정된 전압이 토글 스위치와 LED 값과 일치하는지 확인하여라.ABCinSCout0000.18V0.18V004.64V2.54V0.17V04.64V02.55V0.17V04.63V4.63V0.18V2.63V4.64V002.49V0.17V4.64V04.64V0.18V2.63V4.63V4.63V00.18V2.57V4.42V4.42V4.42V2.53V2.55V
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • MY CAD TOOL을 이용한 4BIT FULL ADDER 설계
    설계 과정20(1) XOR 의 설계20(2) AND 설계 21(3) Carry 연산 설계22(4) Full-Adder 설계 233. 4-bit Full Adder25Ⅵ. ... MY CAD TOOL을 이용한4BIT FULL ADDER 설계Ⅰ. 서 론1Ⅱ. 반도체의 이해11. MOSFET의 일반적 이해12. MOSFET의 동작 특성23. CMOS공정24. ... MUX Layout15(1) Multiplexer 정의 15(2) Multiplexer 원리 15(3) 1 BIT MUX의 설계16(4) 3 BIT MUX의 설계17Ⅴ. 4BIT FULL
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.18
  • carry look ahead 방식의 이해를 통해서 4bit adder의 설계
    목표: carry look ahead 방식의 이해를 통해서 4bit adder의 설계1. ... 4bit_adder라는 모듈 설정input [3:0] a,b; // a, b라는 4bit 입력값 설정input c0; // 초기 carry c0라는 입력값 설정output [3:0] ... CLA 방식의 ADDERSource code 및 code 분석module carry_lookahead_4bit_adder(a,b,c0,s,c4); // carry_lookahead_
    리포트 | 9페이지 | 5,000원 | 등록일 2011.06.08 | 수정일 2015.06.21
  • [Flowrian] 4-Bit Binary Full Adder (TTL 7483)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7483회로에 대한 문서에는 게이트들로 구성된 조합논리회로도가 제공되지만 본 문서에서는 레지스터 전송 수준(RTL, Register Transfer Leverl)에서 동일한 논리를 구현하도록 설계한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.05.05
  • 논리회로실험 - 제 3장 4bit Carry Lookahead Adder를 이용한 가산기 설계 결과 보고서
    따라서 입력을 받아서 순차적으로 계산을 하는게 아니라 한번에 모든 비트를 계산하는 방법을 설계해보도록 한다. 4bit Carry Lookahead Adder를 이용하여 가산기를 설계해 ... [그림 1] 4bit Carry Lookahead Adder1)GP Full Adder2)[그림 2] GP Full Adder- Pi = Xi xor Yi- Gi = Xi and Yi ... -GP full adder와 Lookahead Carry Generator를 활용하여 전체적인 4bit CLA를 설계한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • verilog에서 half adder를 이용하여 4bit full adder 를 만드는 프로그램입니다.
    Reporthalf adder 를 이용한4bit full adder교 과 목 :분 반 :교 수 :학 부 :학 번 :이 름 ://------------HALF ADDER-------- ... .in1(wire1), .in2(c_in), .sum(sum), .c_out(wire3));assign c_out = wire2 | wire3;endmodule//---------4bit ... FULL ADDER---------------;module four_bit_adder(x, y, c_in, sum, c_out);input[3:0] x;input[3:0] y;input
    리포트 | 2페이지 | 1,000원 | 등록일 2007.10.13
  • [asic] Asic_4bit adder
    파형{4. 검토 및 분석4bit 짜리 두 수를 더하는 4bit가산기를 full adder 4개를 component문을 이용해서 구현해봤습니다. ... 이론full adder 4개를 이용하여 만든 4bit full adder로써 각각의 full adder에서 나온 sum값은 내보내고 carry값은 다음 가산기의 carry in값으로 ... 각각의 full adder에서 발생한 carry값을 다음 full adder로 넘겨줘서 생긴 마지막 carry_out은 위의 4bit의 값을 더해서 나오는 올림수입니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2004.08.18
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 10장 4-bit Adder 회로 설계
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 10. 4-bit Adder 회로 설계조7조제출일2016-11-24학번, 이름10-1. ... (E) 설계한 회로 중 하나를 선택하여 2Bit 가산기 회로를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • [Verilog] 프로세서 구조 4bit Ripple Adder & 4-to-1 Multiplexer (4비트 리플 애더, 4-to-1 멀티플렉서)
    4bit Ripple Adder - 회로도, 소스, 진리표, 타이밍다이어그램4-to-1-Line Multiplexer - 회로도, 소스, 진리표, 타이밍다이어그램
    리포트 | 2페이지 | 1,000원 | 등록일 2010.06.12
  • 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    관련 기술 및 이론(1) 4 bit 전가산기(Full-Adder)2진 병렬 가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림과 같이 전가산기들을 ... 5주차 과제4 bit 전가산기(Full-Adder)와 2의 보수를 이용한 감산기 설계1. 설계 배경 및 목표1. ... 설계 내용 및 방법Full_Adder4 코드(전가산기를 4개 연결시킴) – 그림좌측에서 FA0부터 FA3까지 Full_Adder_4에 연결되어 있음을 알 수 있다.Component를
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • [전자, 시스템칩설계]verilog를 이용한 4bit Full adder
    . 4. 7.4bit Full adder1. ... 4-bit binary adder의 output carry가 된다. ... bit중 최하위 비트(m[0], n[0])의 덧셈 연산에서는 하위 단에서 발생하는 carry에 대해 고려할 필요가 없으므로 입력단자가 2개인 half adder를 사용하였고, 그 다음
    리포트 | 6페이지 | 1,000원 | 등록일 2006.06.26
  • [디지털 논리 회로 실험]디지털 논리 회로 실험,실습(Half/Full Adder, 4-bit Adder/Subtracter)
    Half/Full AdderChap7. 4-비트 Adder/Subtracter2.실험분석 및 고찰Chap6. ... 다만 그래프는 지연시간으로 인하며 약간씩 delay가 생겼으며 글리치도 간간히 보인다.Chap7. 4-비트 Adder/Subtracter의 진리표입력출력AiBiAdd/SubtractS3S2S1S0overflow32 ... 예견법이란 각각의 비트의 덧셈을 통해 곧바로 carry를 구하는 것이 아니라 각 비트의 조합을 통해 한번에 Cout을 구하는 것이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2005.10.17 | 수정일 2023.05.27
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대