• 통큰쿠폰이벤트-통합
  • 통합검색(14,153)
  • 리포트(12,897)
  • 시험자료(791)
  • 방송통신대(224)
  • 자기소개서(118)
  • 논문(76)
  • 서식(28)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8비트" 검색결과 61-80 / 14,153건

  • [Ayeun] 마이크로프로세서응용 6,7주차 결과보고서 마프(ATmega128,8bit timer,counter,스탑워치과제 코드)
    마이크로프로세서응용 결과보고서제목6주차 결과보고서 + 7주차 결과보고서 = 부록으로 포함학과전자공학과학번성명제출일2018. 11. 21확인1. 8Bit Timer/Counter 관련 ... PWM + 16비트 PWM)신호변조기 기능 : 변조기기능 ≫ 8비트 PWM 신호와 16비트 PWM 신호를 AND또는OR 논리연산으로 혼합하는 기능#동작원리사용자가 원하는 시간간격을 ... 타이머/카운터 레지스터0- 타이머/카운터 n의 8비트 카운터 값을 저장하고 있는 레지스터- 이 레지스터는 쓸 수도 있고 읽을 수도 있다.- 자동으로 값이 갱신 된다.3.
    리포트 | 19페이지 | 1,000원 | 등록일 2018.12.22
  • 서강대학교 디지털회로설계 설계2 8bit Multiplier
    테스트 방법 ① 8-비트 입력에 대한 test vector를 만든다. ... 입출력으로 8-비트의 입력과 16-비트 출력을 가지도록 한다. ※ 주의사항 ① VHDL언어를 사용하여 설계한다. ② 각 논리 게이트는 특정 지연 시간을 갖도록 설계한다. ※ ... 합성 및 분석1) Booth Algorithm① Booth Algorithm의 이해● Modified booth algorithm을 이용하는 이유는, 모든 비트에 대해 Partial
    리포트 | 11페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • 8비트 CPU 설계(디지털)
    ● 제어장치의 입력- 클록: 시스템 내에서 동작하는 회로나 장치들을 동기시키기 위해 일정 간격으로 발생되는 펄스이다. 제어장치에 인가되는 각 클록펄스마다 한 개의 마이크로오퍼레이션을 수행한다.- 명령레지스터: 명령레지스터 속에 들어있는 명령어의 오퍼레이션코드는 ..
    리포트 | 4페이지 | 2,000원 | 등록일 2007.06.06
  • 8 bit adder carry look ahead
    부호를 갖는 8 bit adder를 Carry-Look-Ahead 방법으로 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity carry_look_adder
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • [Flowrian] 8 Bit Adder 구조의 Verilog 설계 및 시뮬레이션 검증
    8 비트 가산기는 8 비트 이진수의 덧셈을 수행하는 모듈이다. ... 덧셈은 하단에서 올라오는 캐리 입력과 2개의 8비트 이진수 피연산자 (operand)를 입력받아 덧셈을 수행한 후에 8비트의 덧셈 합과 캐리를 출력한다.본 설계에서는 8개의 전가산기를 ... 경로가 이루어지므로 덧셈 속도가 느린 단점이 있다.8비트 가산기는 Verilog 언어로 모델링 되었으며 시뮬레이션에 의해서 검증된 파형을 제공하고 있다.디지털 논리회로를 배우거나
    리포트 | 16페이지 | 2,500원 | 등록일 2011.09.04
  • 8bit uniform quantizer 설계, quantization error, SQNR확인
    *((t>=5)&(t ... bit(x1,4); % uni_quant_8_bit(x) 함수 호출q_error1=x1-y1; % quantization error MSE1=sum(q_error1.^2)/6; % ... MSE; % SQNR SQNRdB=10*log10(SQNR) % SQNR dB scale x1=2*sin(2*pi*t); % sinusoidal 펄스 정의y1=uni_quant_8_
    리포트 | 3페이지 | 2,000원 | 등록일 2010.10.18 | 수정일 2021.12.13
  • 클럭 소비 시간을 줄인 순차 나눗셈기(16비트 순차 나눗셈기:8클럭 소비)
    리포트 | 3,000원 | 등록일 2014.03.27 | 수정일 2014.04.03
  • 8bit booth multiplier
    베릴로그로 기술된 booth 알고리즘의 8비트 곱셈기 설계booth algorithm을 응용하여 연속된 1이 있을 경우 빠르게 연산하는 곱셈기의 설계도 입니다.
    리포트 | 무료 | 등록일 2004.08.30 | 수정일 2017.03.08
  • verilog를 이용한 부호있는 8bit 곱셈기(multiplier) 설계 및 분석
    multiplicandinput [7:0] mplier; //8bit인 multiplieroutput [14:0] product; //15bit의 결과값 productreg [1: ... 0] state;reg [8:0] A; //계산될 결과를 저장할 accumulator 역할reg [8:0] B; //1bit 확장하여 multiplier를 저장할 Breg [7:0] ... multipliermodule multiplier_signed(clk, st, mcand, mplier, product);input clk, st;input [7:0] mcand; //8bit
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.18
  • [Flowrian] 8 비트 업/다운 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    Behavior 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증3. 8 비트 레지스터의 Verilog 설계 및 검증4. 8 입력 증감기 회로의 Verilog 설계 ... Structure 형식 8 비트 업/다운 카운터 회로의 Verilog 설계 및 검증 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 업/다운 카운터 회로의 사양2.
    리포트 | 18페이지 | 1,000원 | 등록일 2011.11.05
  • 1-bit Full Adder and 8-bit carry select Adder Design
    8개를 늘어놓음으로써 8 bit의 덧셈을 가능하게 한다. ... 사용하여 8 bit carry select adder를 만드는 실험이었다. ... 우선 4 Bit binary ripple carry adder는 1 Bit Full Adder를 4개를 이어 붙여서 만든 Adder이고, 8 bit carry select adder는
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • [Flowrian] 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 시뮬레이션 검증
    Behavior 형식 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 검증3. 8 비트 레지스터의 Verilog 설계 및 검증4. ... Structure 형식 8 비트 쉬프트 레지스터 회로의 Verilog 설계 및 검증 ... 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 8 비트 쉬프트 레지스터 회로의 사양2.
    리포트 | 14페이지 | 1,000원 | 등록일 2011.11.07
  • 논리회로설계실험 D-FF , 8bit Register 설계
    1)D-FF 설계 library IEEE;use IEEE.std_logic_1164.all;entity dff isport( d, clk : in std_logic;sim:/tb_dff/u1/clk sim:/tb_dff/u1/d sim:/tb_dff/u1/q1 sim:..
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 8비트 가산기 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 8 8비트 가산기□ 시뮬레이션7-세그먼트 시뮬레이션Verilog HDL 코드시뮬레이션 결과입력값출력값숫자4(D)3(C)2(B)1(A)abcdefg0000 ... 이번에는 verilog HDL 코드를 이용해 8비트 7-세그먼트의 동작을 시뮬레이션으로 확인해보는 실험을 해보았다. ... 코드를 해석해보면 4비트의 bcd_in 입력에 대해 7비트의 출력결과가 나타나게 된다. default의 출력결과는 b1111110가 나타난다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 경희대학교 논리회로 레포트 - 쿼터스를 이용하여 Full Adder / 8bits Adder 의 Wave Form을 출력하고, 값이 변경되는 지점을 설명하시오.
    저번 실습시간에 조교님이 8bits Adder의 입력값에 따른 결과값을 설명해주셔서 이번 과제를 하는데 어려움은 많이 없었다. ... -=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-① 문제 정의 : 쿼터스를 이용하여 Full Adder / 8bits ... 연산결과 83이 나오게 된 과정은 (156 + 183) - 256 = 83이다.여기서 256을 빼주는 이유는 (156 + 183)을 연산한 결과 8bits Adder의 Carry가
    리포트 | 2페이지 | 2,000원 | 등록일 2016.04.17
  • array multiplier 8 bit verilog code
    `timescale 1 ns / 10 ps module array_mult8 (clk, rst, a, b, out); input clk; input rst; ... wire [7:0] t3; wire [7:0] t4; wire [7:0] t5; wire [7:0] t6; wire [7:0] t7; wire [7:0] t8; ... wire [6:0] s3; wire [6:0] s4; wire [6:0] s5; wire [6:0] s6; wire [6:0] s7; wire [6:0] s8;
    리포트 | 1,000원 | 등록일 2007.08.02
  • 8-Bit Digital-to-Analog Converter (DAC)
    Term Project 8-Bit Digital-to-Analog ConverterSpecificationPerformanceSchemeticsFull Schemetic 1st F/ ... : 8 bits • Conversion speed (fCLK): 1GHz • Architecture: - 4-4 partially segmented current-steering architecture ... Thermometer/Binary) R-2R Ladder Switch Current cell Current SteeringOverviewSpecificationDigital input bits
    리포트 | 18페이지 | 1,500원 | 등록일 2009.11.23
  • 8비트 동기식 카운터 디지털회로실험 예비보고서
    두 번째 실험에서는 2개의 74163 TTL 소자를 이용하여 8비트 동기식 카운터 동작을 확인하였다. ... 실험결과를 보면 5비트의 결과까지 변화를 관찰할 수 있지만 출력되는 결과 파형시간이 너무 짧아 6,7,8비트의 결과를 충분히 관찰하는데는 어려움이 있었다. ... 결과실험(2)는 실험(1)과 마찬가지로 실험을 진행하면서 이때 카운터의 증가를 8비트까지 관찰할 수 있도록 회로를 수정한 결과이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • D-FF을 이용한 8bit counter 설계
    D-FF을 이용한 8bit counter 를 설계하라 (구조적 모델링)LIBRARY ieee;USE ieee.std_logic_1164.ALL;entity upcounter8 isPort ... : in std_logic ;cval : out std_logic_vector (7 downto 0) ) ;end ;Architecture upcounter of upcounter8
    리포트 | 2페이지 | 1,500원 | 등록일 2008.12.07
  • verilogHDL로 구현한 8bit ALU
    회로의 구성a) 2개의 8bit 입력과 하나의 4bit 동작제어 신호에 의한 하나의 8bit 결과 값을 출력하는 회로의 구성b) 산술연산 +, -, x, >>, 11011A < 11100A ... [3:0] x B[3:0]*************1-12. verilogHDL 코드module ALU_8bit(S, A, B, result);input [7:0] A, B;//8bit ... input A, Binput [3:0] S; //4bit 동작제어output [7:0] result; //8bit output resultreg [7:0] result;always
    리포트 | 4페이지 | 1,000원 | 등록일 2004.11.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대