• 통큰쿠폰이벤트-통합
  • 통합검색(1,796)
  • 리포트(1,662)
  • 시험자료(101)
  • ppt테마(10)
  • 자기소개서(8)
  • 논문(6)
  • 방송통신대(6)
  • 서식(1)
  • 이력서(1)
  • 기업보고서(1)

바로가기

BCD 독후감 - BCD 관련 독후감 3건 제공

"BCD" 검색결과 61-80 / 1,796건

  • Excess 3 to BCD code converter ( Excess-3-to-BCD code converter )
    ,BCD_f,BCD_e,BCD_d,BCD_c,BCD_b,BCD_a} = FUNC_SEG_BCD(BCD_output);function [6:0]FUNC_SEG_BCD;input [3: ... ,BCD_b,BCD_c,BCD_d,BCD_e,BCD_f,BCD_g,BCD_LED);input [3:0] EX_input;reg [3:0] BCD_output;output [3:0] ... : BCD_LED = 4'h0;1 : BCD_LED = 4'h0;2 : BCD_LED= 4'h0;3 : BCD_LED = 4'h0;4 : BCD_LED = 4'h1;5 : BCD_LED
    리포트 | 16페이지 | 3,500원 | 등록일 2011.04.22
  • 두 개의 BCD 입력을 받아, EX-3로 변환 후 뺄셈을 수행하는 감산기 설계 및 제작
    기능 블록 설계(1) SWA, SWB와 BCD to Ex-3SWA와 SWB는 4핀 dip 스위치를 사용함으로써 BCD코드를입력 받는다. ... 이때 유효한 입력은 BCD 코드0~9(0000~ 1001)이다. ... 제반 사항① 두 개의 빼게 될 4비트 BCD 입력은 딥 스위치에 의하여 셋팅하여 입력한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2015.11.25
  • BCD to EX-3 가감산기 설계 보고서
    BCD TO EX-3 설계 보고서1. ... 로써 S4S3S2S1이 출력됩니다.가산기에서 출력된 S4S3S2S1는 LED와 연결되어 BCD코드가 3초과코드로 변환 된것을 눈으로 확인 할 수 있게 되어 집니다. ... 나온 결과 값을 BCD 코드로 다시 변환을 실행 한 뒤에 음수면 음수표현까지 가능한 디스플레이, 양수면 양수, 10의 자리가 되어도 캐리 표현이 가능한 디스플레이를 만들어 출력하는
    리포트 | 11페이지 | 1,000원 | 등록일 2011.05.25
  • BCD 카운터 & up and down 카운터 결과보고서(ATmega128 부분)
    명 제⇒ 저번 설계에서 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하였다. 2진 입력을 BCD코드로 디코드 ... 설계과정① 기존에 설계완성을 한 BCD 7-segment decoder PCB회로 기판을 준비한다.② IAR tool을 이용하여 원하고자 하는 결과물 얻기 위한 C언어 소스를 구상한다
    리포트 | 15페이지 | 3,500원 | 등록일 2013.05.05
  • BCD 코드를 이용한 10진 가산기
    design May 6. 2008Chonnam Uiv Electronics2개의 BCD숫자를 병렬로 합산하여 역시 BCD로 합의 숫자를 생성하는 회로BCD 코드BCD의 0~9까지의 ... 수 = 2진수 병렬가산기의 입력 BCD의 10~19까지의 수 ≠ 2진수 보정회로 요구 BCD보정의 필요 ① BCD코드에 사용하지 않는 경우가 발생될 때 ② 자리 올림의 수가 발생된 ... 합 ≤ 9 = BCD수 = 2진식 수 = 보정 불필요 2진의 합 9 = 올바르지 않은 BCD표시 = 보정필요 = 필요한 출력캐리 발생BCD 가산기(보정)Digital design
    리포트 | 33페이지 | 3,000원 | 등록일 2008.10.05
  • BCD 가산기 (7483, 전가산기 두가지방법) 쿼터스
    가산 결과가 된다.② BCD 가산 결과가 10부터 18일 때이 때에 BCD는 0부터 9까지 밖에 나타낼 수 없으므로 BCD의 자리올림이 필요하며, 그 합에서 1010을 (-)하든지 ... 따라서 그대로는 가산이 되지 않으며 다음과 같은 보정과정을 거쳐야 올바른 BCD 결과를 얻을 수 있다.① BCD 가산결과가 0부터 9일 때이 경우에는 2진 가산의 결과가 그대로 BCD의 ... 0110(1010의 2의 보수)를 더하면 된다.BCD 가산회로를 구성하기 위에서는 우선 BCD를 나타낼 4비트 2진 가산회로가 필요하고, 가산결과 합이 10 이상인 경우가 있으므로
    리포트 | 14페이지 | 3,000원 | 등록일 2011.12.03 | 수정일 2021.04.11
  • 8421(BCD) 가산기 설계 제안서 및 설계 결과 보고서
    1자리를 나타내는 특징이 있다. 1. 8421(BCD) Code - 8421(BCD) Code(Binary Coded Decimal Code : 2진화 10진 코드)는 10진수의 ... - 4bit 2진 전가산기 소자인 74LS87을 이용하여 8421(BCD) 가산기를 설계한다. - 74LS87소자는 4bit 2진 전가산기 소자이다. ... BCD는 0~9까지의 10진수 1자리를 4비트의 2진수로 표현한 것이며 10진수를 나타낼 경우 8-4-2-1이라는 자리값을 부여한 4bit 2진수로 표현되고, 자리값의 합이 10진의
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 명제▶ BCD TO 7-SEGMENT DECODER를 설계하고 설계된 LOGIC을 실제로 구동하여 2진 입력을 받았을 경우 구상한 진리표대로 작동하는지 확인한다. ... 설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1.명제12
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • 10진 BCD부호 변환기 세미나
    10진 BCD 부호 변환기디지털 논리회로 실험0조세미나8421 BCD 부호BCD코드(Binary Coded Demical Code : 2진화 10진코드, 8421코드)는 10진수 0부터 ... 아래표는 2진화한 코드값이다.BCD 부호 연산방법BCD코드의 연산은 10진 코드의 연산과 같은 방법으로 한다.그러나 계산 결과가 BCD코드를 벗어나는, 즉 9를 초과하는 경우에는 계산 ... 결과에 6 (0110(BCD))을 더해준다.BCD 부호 연산방법3초과 코드(excess-3 code)는 BCD코드(8421코드)로 표현된 값에 3(0011(2))을 더해 나타낸 코드다
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • [Flowrian] BCD/Decade Up/Down Counter (TTL 74192) 회로의 Verilog 설계 및 검증
    동작 사양- 본 회로는 0~9 사이의 값을 4 비트 이진수로 출력하는데 증가하는 방향으로 혹은 감소하는 방향으로 카운팅하는 카운터 회로 (TTL 74192) 이다. 다른 카운터와 다른 특징은 카운팅 증가와 감소 클럭이 별개로 사용된다는 점이다.- 본 회로의 몇가지 특징..
    리포트 | 9페이지 | 1,000원 | 등록일 2012.10.02
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    BCD input에 대하여 7bit output이 나오는 BCD to 7segment의 code이다.4. ... BCD to 7segment가 최종적으로 잘 작동하는지 FPGA보드에 연결하여 실험해보았다. ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계2. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현3.
    리포트 | 5페이지 | 2,000원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • _실험8[1]._10진 BCD 부호 변환기
    것으로서 8421 BCD 부호의 각 디지트(Digit)에 해당되는 가중치가 8, 4, 2, 1이 되기 때문에 8421 BCD부호라 한다. ... 실험목적(1) BCD 부호의 개념 및 원리를 배운다.(2) 10진-BCD 부호 변환 기법의 원리를 이해하고 이를 응용한 부호 변환기의 구성방법을 익힌다.(3) 표준 TTL IC를 이용한 ... 예를 들면, 8421 BCD 부호 0110은 0 X (8) + 1 X (4) + 1 X (2) + 0 X (1) = 6 과 같이 각 가중치의 합으로 나타낼 수 있다.마찬가지로 BCD
    리포트 | 4페이지 | 2,000원 | 등록일 2009.10.26
  • [Flowrian] BCD to Seven Segment 변환기의 Verilog 설계 및 시뮬레이션 검증
    BCD to Seven Segment 변환기의 사양2. Dataflow 형식 BCD to Seven Segment 변환기의 Verilog 설계 및 검증3. ... Structure 형식 BCD to Seven Segment 변환기의 Verilog 설계 및 검증 ... Behavior 형식 BCD to Seven Segment 변환기의 Verilog 설계 및 검증4.
    리포트 | 18페이지 | 1,000원 | 등록일 2011.10.31
  • Design of Excess-3-to-BCD code converter
    디지털 공학 Design Project설계 보고서Design of Excess-3-to-BCD code converter설계 이론1. specification- BCD code 는 ... 비트 순서로 A,B,C,D 로 표시힌다.- 각 BCD 숫자는 4개의 비트로 구성되는데 가장 최상위 비트에서부터 제일 최하위비트 순서로 W,X,Y,Z로 표시한다.2. ... 4 bit의 binary로 0~9까지의 10진수를 표현한 코드이다. 4개의 bit가 10진수 1자리를 나타 낸다- Excess-3 code 는 각각의 BCD코드에 3씩을 더한 값으로
    리포트 | 12페이지 | 1,000원 | 등록일 2012.06.04
  • [토끼] 어셈블리어 산술연산, BCD AND ASCII ARITHMETIC 코드 리뷰 및 에뮬 결과 수록
    carry(A) flag가 변하는 것을 확인 할 수 있다.MOV DX,1234H // BCD 1234를 LOAD 한다.MOV BX,3099H // BCD 3099를 LOAD 한다.MOV ... AND ASCII ARITHMETIC이 코드는 BCD코드를 CX의 결과로 DX, BX 에 저장하는 프로그램이다.컴파일을 해보면 CARRY가 있으므로 2장에서 배운 flag에 주의할 ... 필요가 있다.먼저 DAA의 명령어의 경우 AL에서 만 동작하기 때문에 AL을 기준으로 덧셈을 하여주고 밑에서 캐리가 발생시 CARRY가 올라가고 BCD연산이므로 auciliary
    리포트 | 6페이지 | 3,000원 | 등록일 2013.02.03 | 수정일 2020.07.13
  • 7-Segment Decoder using Decimal to BCD Converter
    7-Segment Decoder using Decimal to BCD ConverterDecimal to BCD Converter를 Codingmodule Deci_to_BCD(X, ... K1(.X(X),.In(In)); //Deci_to_BCD에서 input ‘X’는 ‘X’로 output ‘In’의 값은 ‘In’으로 지정된다.Minterm K2(.In(In),.K ... coding에 의해서 연결이 됨을 알 수 있다.Decimal to Seven Segment decoder가 최상위 계층으로 올라가고 Decimal to BCD 가 최하위 계층으로
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • 실험8. 10진 BCD 부호 변환기
    그리고 BCD 의 덧셈에서 6을 더하는 이유는 BCD 코드의 특성 때문이다. 실제로 BCD에 덧셈에서는 마치 2진수인 것처럼 더하기를 하는데, 이것 때문이다. ... 나온 것이 BCD 코드이다. ... 디지털논리회로 실험예비 레포트한양대학교전자정보시스템전공 3학년실험8. 10진 BCD 부호 변환기학 번2003040520성 명우 재 홍관련이론○ 10진-BCD 인코더?
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.25
  • [Flowrian] BCD to 7-Segment Decoder (TTL 7448)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7448 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (Segment) LED를 구동하는 신호로 변환하는 디코더 회로이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • BCD to EXCESS-3 변환코드(VHDL)
    is -- bcd2excess3라는 이름을 갖는 entity 선언부의 시작을 알림port(bcd: in std_logic_vector(3 downto0);-- bcd는 입력신호명이며 ... ; -- bcd2excess3라는 이름을 갖는 entity 선언부의 끝을 알림architecture behavioral of bcd2excess3 is – bcd2excess3는 entity의 ... Behavioral은 architecture의 name.beginprocess (bcd) --process의 시작을 알림begincase (bcd) is --bcd 입력값을 excess3값으로
    리포트 | 18페이지 | 2,000원 | 등록일 2008.06.01
  • [토끼] BCD to EX-3 code converter (sequential circuit)
    TitleBCD to EX-3 code converter (sequential circuit)2.Name3.AbstractBCD-EX3 Final Term Project본 실습에서는 BCD ... : BCD 의 LSB 부터 시작하여 연속적인 클럭을 통하여 직렬로 입력된다.output : EX-3 의 LSB 부터 시작하여 연속적인 클럭을 통하여 직렬로 출력된다.디지트의 순서로 ... 입력에 BCD 를 LSB부터 클럭이 입력될 때마다 순차적으로 인가하고 출력에 LED 를 연결하여 순차적으로 표시하여 확인한다.4.Background1. specificationinput
    리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대