• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,473)
  • 리포트(1,390)
  • 시험자료(39)
  • 논문(19)
  • 방송통신대(17)
  • 자기소개서(6)
  • 서식(2)

바로가기

BIT 독후감 - BIT 관련 독후감 3건 제공

"BIT" 검색결과 61-80 / 1,473건

  • 윈도우7 32비트 64비트 성능분석
    Windows 7 32/64bit시스템의 성능분석최종 결과 보고서목차 TOC \o "1-3" \h \z \u Hyperlink \l "_Toc294024892" 1.Project 소개2 Hyperlink \l "_Toc294024893" 1.1윈도우 7 & 32비트와 ..
    리포트 | 27페이지 | 2,000원 | 등록일 2012.08.09
  • 16bit CLA Adder Design
    ■ 16Bit CLA Adder Design (Verilog)1. 16bit CLA Logic▶ Full Adder (FA)▲ Full Adder의 Truth tableABCinSCout00*************1100100110101010110111111Full A..
    리포트 | 7페이지 | 3,000원 | 등록일 2013.05.27
  • 16비트 게임기 시대
    Team 11 2013.03 Nintendo SEGA in 16-bit video gamesThe first game console 2 Odyssey by Maganabox 1972 epoch atari fairchild8bit to 16bit 3 1988 16bit ..
    리포트 | 17페이지 | 1,000원 | 등록일 2013.04.29
  • RS 232 포멧정리와 패리티비트
    목 차RS-232 기수 패리티 비트RS-232RS-232란 무엇인가?RS-232C는 컴퓨터들과 관련 장치들 간에 비교적 느린 속도의 직렬 데이터 통신을 하기 위한 물리적 연결과 프로토콜에 관해 기술하고 있는 오래된 표준이다 (현재의 버전이 C 이다). 이 표준은 원래는..
    리포트 | 34페이지 | 1,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    1. 관련이론? Adders→ Adds two N-bit binary numbers-2-bit adder: adds two 2-bit numbers, outputs 3-bit result-e.g., 01 + 11 = 100 (1 + 3 = 4)→ Can design u..
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • Nintendo in 16-bit Systems
    1. Evaluate NEC’s and Sega’s strategies for challenging Nintendo in video games.NEC’s entry into the home video market came in October 1987, with the ..
    리포트 | 2페이지 | 1,000원 | 등록일 2013.06.17
  • VHDL 4Bit Adder 16Bit Adder
    보 고 서VHDL과 목 명:학 과:학 번:이 름:제 출 일:담당교수:4Bit AdderLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Bit4_cla isPORT(a,b : in std_logic_vector(3 downto 0);..
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.30
  • 4Bit, 16bit CLA Adder
    4Bit CLA Adder Source Codelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit is Port ( a : in std_logic_vector(3 downto 0); b : in ..
    리포트 | 6페이지 | 4,000원 | 등록일 2009.03.09 | 수정일 2015.06.30
  • VHDL 4bit-fulladder소스,시뮬레이션,설명
    4- BIT FULL ADDER VHDLcontents1bit-Fulladder source 1bit_fulladder Design name = fadder x, y,z = input s, c = out put s = x ⊕ y ⊕ z c = xy + yz + xz4b..
    리포트 | 10페이지 | 1,000원 | 등록일 2014.12.18
  • 인하대학교 디지털시스템설계 (verilog) 32bit ALU 설계
    1. 과제목적1. generate 구문의 사용법을 익힌다.2. ALU의 구조와 동작방식에 대해 알아본다.3. Hierarchical 구조 모델링을 익힌다8. 고찰처음 최상위 모듈을 작성할 때 Set값을 wire로 선언하지 않고 시뮬레이션을 실시하였다. 코드상 에러는 발..
    리포트 | 12페이지 | 2,000원 | 등록일 2017.01.06 | 수정일 2018.03.24
  • U-Health&비트컴퓨터
    0. Contents 1 Ⅰ . Intro U-Health 변화 Why? Ⅱ. U-Health Process 산업구성 산업환경 산업분야 Ⅲ. Bit Computer 비트컴퓨터 사업영역 DreamCare 수익구조 Ⅳ. Final presentation /37Ⅰ . Int..
    리포트 | 47페이지 | 2,000원 | 등록일 2012.11.16 | 수정일 2015.06.20
  • 패리티 비트로 헤더 체크섬을 확인하는 방법 (멀티미디어 통신 레포트 A+)
    ● 헤더 체크섬이란? IP 패킷 헤더의 오류 발생을 검사하기 위한 필드이다. IP 헤더에 대해서만 수행된다. 먼저, 헤더 체크섬 필드의 비트 값을 모두 0으로 설정한 후, 전체 헤더가 16비트 워드의 연속이라 가정하고 1의 보수 합을 수행한다. 이 값을 체크섬..
    리포트 | 3페이지 | 1,000원 | 등록일 2017.08.18
  • 16Bit CLA layout 설계
    Project #3 Carry Look-ahead Adder(4-bit BCLA 4개를 이용하여 16-bit CLA 설계)1. Carry Look_ahead Adder의 기본 이론1) CLA(Carry Look-ahead Adder)- RCA(Ripple Carry A..
    리포트 | 18페이지 | 2,000원 | 등록일 2011.06.17
  • 컴퓨터 그래픽파일 방식(비트맵, 벡터방식)
    1. 비트맵방식1) 정의- 화소(Pixel)라고 부르는 작은 점들의 2차원 배열을 이용한 영상 정보의 표현방법을 말한다. 하나의 화소는 R, G, B값의 조합으로 색을 표현하며 색상 Bit수에 따라서 8Bit, 16Bit, 24Bit등의 색상을 표현한다.- 컴퓨터에서 ..
    리포트 | 2페이지 | 5,000원 | 등록일 2014.04.13 | 수정일 2014.05.21
  • 16비트 CPU 설계
    위 그림에서 볼수 있듯이 CPU의 설계에는 Memory, AR 레지스터, PC 레지스터, DR 레지스터, AC 레지스터IR 레지스터, TR 레지스터 ALU, 버스, INPR, OUTR, E 등이 필요하다. 각각의 레지스터들은 서로 다른 크기의주소비트를 가지고 있으며 다..
    리포트 | 23페이지 | 4,000원 | 등록일 2009.12.01
  • JK플립플롭,T플립플롭 3비트 2진카운터 설계
    과목명 : 디지털공학개론학 번 : 16200481이 름 : 정기복---- 목 차----1. 플립플롭 이란?2. 플립플롭의 종류1) RS 플립플롭2) D 플립플롭3) JK 플립플롭4) T 플립플롭3. 플립플롭을 이용하여 3비트 2진 카운터 설계1) JK 플립플롭을 이용하..
    리포트 | 9페이지 | 2,000원 | 등록일 2016.12.10 | 수정일 2018.09.20
  • XOR를 활용한 4bit_가감산기
    Report< Enable 단자를 이용한 4bit 가감산기 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 10월 20일학 번 : 200711061이 름 :김성현Verilog - 4bit Adder 설계1. source_half adder2. s..
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 32비트 가감산기 SystemVerilog 소스 코드
    package my_type ; typedef enum bit { add=1'b0, sub=1'b1} op_e ;endpackageimport my_type::* ;module addsub32_2s(cout, s, a, b, cin, op); output [31:0]..
    리포트 | 2,000원 | 등록일 2013.03.18
  • TTL IC를 이용한 8비트 논리 연산 회로
    2007學年度 第1學컴퓨터 시스템 구조TTL IC를 이용한 8비트 논리 연산 회로논리 마이크로 연산☞ 대부분의 컴퓨터에서는 16개의 논리 마이크로 연산 중 에서 단지 네 개의 연산- AND, OR, XOR, 보수만을 사용한다.☞ 논리마이크로 연산은 과학계산보다는 이진 ..
    리포트 | 11페이지 | 2,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • [VerilogHDL] CLA를 이용한 16bit 산술논리장치(ALU) 설계
    디지털설계고속가산기(CLA)를 활용한16bit 산술논리장치(ALU) 설계목 차설계개요개념설계회로구현결과검토■ 설계개요고속가산기를 활용하여 8가지 연산(덧셈, 뺄셈, +1증가, -1감소, 보수, 논리곱, 논리합, 전달)을 수행하는 산술논리장치;ALU(Arithmetic ..
    리포트 | 6페이지 | 3,500원 | 등록일 2015.08.02
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:50 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대