• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(548)
  • 리포트(515)
  • 시험자료(27)
  • 논문(2)
  • 서식(2)
  • 자기소개서(2)

"Decoder&Encoder" 검색결과 61-80 / 548건

  • 디지털 논리회로 실험 4주차 Multiplexer 예비보고서
    실험 기기 및 부품4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 2-to-4 Decoder 74139,3-INPUT AND 게이트 7411 ... 실험 준비1) 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.: 멀티플렉서는 n개의 선택(SELECT)을 통해 2n개의 데이터(INPUT) 중에 하나(OUTPUT)를 선택하는 ... 일반적으로 n×2n 디코더에서 각 디코더의 AND 게이트에 1개의 입력을 추가하여 전체적으로 디코더에 2n개의 입력선을 덧붙이고, 또 모든 AND 게이트들의 출력들을 1개의 OR게이트
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22 | 수정일 2022.04.17
  • 삼성 전자(화상면접자료)
    is optimum in a memoryless channel.Received data r (r1, r2, … , rn)Decoded messageCorrect errors and ... messages when they are transmitted through noisy channels.Coding – Basic concepts*EncodingBlock codes Encoding ... bound on sizes of doubly constant-weight codes”, Finite Fields and their Applications 54 (2018) 230–
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    어드벤처 디자인결과보고서 8실험 제목 : Multiplexer, Decoder, Encoder1. ... [그림 4] 2x4 Decoder 논리 회로3. 실험 부품Bread 보드, Decoder, NOR gate, OR gate , AND gate , NAND gate4. ... 고찰이번 실험을 통해서 OR, AND, NAND, NOR gate를 활용하여 Decoder를 설계하는 방법에 대해서 익힐 수 있었다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    디지털통신2 결과보고서-4차-[Block Coding and Decoding]제출일학과조학번수업이름목 차1. ... 즉 block coding 전과 decoding후가 일치하므로 decoding 과정이 온전히 이루어졌음을 알 수 있다.[0 1 0 0 | 1 0 0] Coding & Decoding발생되는 ... 얻었다.[1 1 0 0 | 0 1 0] Coding & Decoding발생되는 신호는 FS를 기일치한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... Prelab(1) 교안의 2:4 Decoder의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- , , ,(2) 교안의 4:2 Encoder의
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    오늘 실험할 Encoder/Decoder, Mux/Demux 또한 조합논리회로이다.Encoder인코더는 부호기로 10진을 2진으로 바꾸는 역할을 한다. ... 실험 목적본 실험에서는 Encoder/Decoder, Mux/Demux인 조합회로를 Verilog HDL 언어를 사용하여 설계 및 실험하고자 한다.2. ... 예상 결과본 실험에서는 자일링스 프로그램을 통해 조합회로인 mux, demux, encoder, decoder를 베릴로그 언어를 사용해 시뮬레이션을 진행하게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    만듬.(3) Decoder- N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... Materials and Methods가. 실험 장비HBE Combo-II SE3.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로실험 예비보고서5
    [실험5-Decoder & Encoder]1. 실험 목적디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... >74HC42 : BCD to decimal decoder>74HC148 : 8 to 3 line priority encoder4. ... ·예상결과 : 이번 실험은 inverter와 and gate를 이용하여 2x4 Decoder의 내부회로를 구성해보고 역할을 확인해보는 실험이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.09.18
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    Decoder & Encoder1) 실험목적1. 디코더(Decoder)와 인코더(Encoder)가 무엇인지 안다.2. ... 디코딩(Decoding)과 인코딩(Encoding)의 원리를 이해한다.3. ... 실험을 통해 디코딩(Decoding)과 인코딩(Encoding)의 동작을 확인한다.(2x4 Decoder, BCD to Decimal Decoder, 인코딩-10진/Excess-3
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, 7segment 실험결과보고서
    실험 목적Decoder를 이해하고 7segment decoder 회로를 설계할 수 있다.Chapter 2. ... 아래 행들도 마찬가지로 비교해보면 a와 b의 십진수의 값이 d 옆의 숫자와 같은 10진수로 표현될 때, 1이 됨을 알 수 있다.- and게이트와 not 게이트를 이용하여 논리회로를 ... 구성하면 위와 같다. - d3 = ab, d2 = ab‘, d1 = a‘b, d0 = a‘b‘이다.ü Encoder - 10진수 입력값을 2진수 값으로 변환하여 출력한다.- 입력값을
    리포트 | 10페이지 | 2,500원 | 등록일 2023.02.28
  • 디지털 논리실험 4주차 예비보고서
    74139, 3-INPUT AND 게이트 7411의 datasheet를 확인하시오. ... 반면에 부호기(encoder)는 2^n개의 정보를 입력으로 받아 n개의 출력 값을 가지는 회로이다. ... 개수와 선택선의 유무에 있어 차이 가 있다. 1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 1-of-4 Decoder
    리포트 | 3페이지 | 2,000원 | 등록일 2023.04.11
  • 부경대 컴퓨터공학 컴퓨터네트워크 계산기서버 만들기, 와이어샤크
    하고 클라이언트의 데이터를 전송한다.해당 서버는 중계 서버로부터 받은 자료를 계산하고 결과값을 중계 서버로 돌려준다.중계 서버는 다시 결과값을 클라이언트에게 돌려준다.계산기 서버 & ... )s.sendall(str(num1).encode("utf-8"))data2 = conn.recv(1024)strings = data2.decode("utf-8")num2 = int ... (1024)strings = data2.decode("utf-8")num2 = int(strings, base=10)print(num2)s.sendall(str(num2).encode
    리포트 | 17페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.23
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... 토의 및 결론이번 실험에서는 decoder및 encoder, 7-segment 등의 동작원리에 대해 배울 수 있었다. ... -Encoder의 동작원리를 이해한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • AI 음성인식 - End to End 음성인식 시스템에 대한 심화이해
    ) 와 Speller (decoder) 로 이루어져 있다.데이터의 피쳐를 입력받는 Encoder입력 시퀀스 x를 High level feature인 h로 변형하는 역할을 담당한다(더 ... 1층 당 시퀀스 길이가 반씩 줄어들게 되는데, 본 논문에서는 이러한 레이어를 3개를 둠으로써, 총 시퀀스 길이를 8 분의 1로 줄였다고 한다.이러한 시퀀스 길이의 감소는 디코딩 & ... 본 논문 모델과 같은 성능을 낸 것은 굉장히 혁명적인 일이였다고 한다.본 논문 이후 Speech 분야는 CTC와 LAS로 나뉜다고 한다.모델의 전체적인 구조는 Listener (encoder
    리포트 | 4페이지 | 1,500원 | 등록일 2023.04.30
  • 홍익대학교 디지털논리실험및설계 4주차 예비보고서 A+
    1.1 멀티플렉서와 부호기(encoder)의 차이를 설명하시오.부호기는 사람이 이해할 수 있는 형태의 비트 조합들을 입력으로 주고 그것을 어떤 특정 비 트 조합들과 ... 74139, 3-INPUT AND 게이트 7411의 datasheet를 확인하시오.각각의 IC를 동작하게 하기 위해서 Vcc와 GND를 몇 번 pin에 연결해야 ... 데이터 선택 입력 의 조합을 통해서 선택할 수 있습니다.1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer 74157, 1-of-4 Decoder
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 5.Combinational-2 - 예비+결과+성적인증 (서울시립대)
    Design various combinational circuits including encoder/decoders, MUX/DEMUXs. 4. ... 조사[1] Search for the types and characteristics of the latches.[2] Program the In-Lab practice 1-6 and ... Practice how to read and analyze technology schematics3.
    리포트 | 25페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.14
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다.- 표시장치 ... 보통 2n개의 입력단자와 n개의 출력단자가 존재하고, = BTN_1 AND (BTN_0)’LED_3 = BTN_1 AND BTN_0이다.STEP 3:그림1과 같은 회로를 Add net ... 맵에 의하면F= X’Z’ + Y’Z 이다.STEP 9:step8에서 구한 F를 and, or, not gate들을 이용하여 구현해보았다.그림25이 때 X는 DIO0, Y는 DIO1
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Pre-Lab Report- Title: Lab#05 Combinational Logic 2(Encoder/Decoder & Mux/Demux)담당 교수담당 조교실 험 일학 번이 름목 ... Encoder/Decoder, Mux/Demux 등을 설계한다. 다양한 설계 방법 등을 실험한다.나. ... Encoder의 반대 로직이다.추가적으로 이번 실험에서 만드는 3x8 Decoder의 진리표이다.(4) MUX(multiplexer)N개의 입력 데이터 중 하나를 선택하고, 선택된
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 예비보고서
    Decoder & Encoder1. 회로 결선도※ 이때, 다이오드 출력에는 저항이 연결되어 있음을 가정한다.2. ... wikipedia, (2020.10.02.), (2020.10.02.), ‘Decoder’, https://en.wikipedia.org/wiki/Decoder? ... wikipedia, (2020.10.02.), (2020.10.02.), ‘Encoder’, https://en.wikipedia.org/wiki/Encoder?
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서10
    이러한 방식을 이용하여 slope overload를 방지한다.아래의 그림을 통해 delta encoder 신호와 delta decoder 신호를 확인할 수 있다.Delta Encoder ... {cases{m _{q} [k]`=`m _{q} [k-1]`+`d _{q} [k]&#m _{q} [k-1]`=`m _{q} [k-2]`+`d _{q} [k-1]`&}} 일 때, 두 ... 이 파형이 Delta Encoder에서 어떻게 사용되는가?오실로스코프 채널1의 파형은 무엇인가?9.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대