• 통큰쿠폰이벤트-통합
  • 통합검색(842)
  • 리포트(802)
  • 자기소개서(27)
  • 시험자료(8)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 61-80 / 842건

  • 중앙대학교 전기회로설계실습(예비보고서) A+ 저항, 전압, 전류의 측정방법 설계
    단자 3개를 왼쪽부터 A,B,C라 정의하면 AC 단자의 값을 측정하고 기록, 다음 조정 나사를 시계방향으로 1/3위치까지 놓은 후 AB BC값을 측정하고 기록한다. ... DMM으로 측정하는 회로도와 조작방법을 작성하라.왼쪽에 있는 A Channel의 -+단자에 점프 선을 연결한다.A Channel의 출력전압을 5V로 조정하려면 오른쪽 위의 나사를 사용해 LCD
    리포트 | 6페이지 | 1,000원 | 등록일 2022.03.11
  • 데이비드 보더니스 e=mc2
    시계와 같은 일상적인 상품에도 사용이 된다.의미심장한 것은 그 공식이 저 멀리 우주의 영역으로까지 확장되었다는 데 있다. ... 가이거 계수기(방사능 측정기)를 장착시켰다.E=mc2이 간단해 보이는 식은 현대과학기술의 진보에 지대한 영향을 끼쳤다.이 공식은 많은 첨단 의료기구들의 중추적인 개념이 되었고, LCD모니터나
    리포트 | 5페이지 | 3,000원 | 등록일 2020.01.21
  • 워드프로세서 필기(1과목) 정리
    컴퓨터의 기억 장치로 전달하는 기능· 키보드, 마우스, 스캐너, 디지털 카메라 등⑵ 표시 장치· 입력된 내용이나 처리된 결과를 화면에 표시하여 편집할 수 있도록 해주는 기능· CRT, LCD ... 그래프1) 장점· 잠재적인 문제점이 부각됨· 시계열적인 변화나 경향을 파악· 자체적인 그 구성 내용을 알 수 있음· 목표 달성 등의 동기 부여에 도움이 됨2) 종류⑴ 막대 그래프·
    시험자료 | 38페이지 | 2,000원 | 등록일 2024.07.22
  • [경영전략론] 전사 차원의 전략, 사업부 차원의 전략, 본원적 경쟁전략
    TV, 시계를 재정의한 타이맥스② 차별화 우위 전략 : 전통적 고급 아이스크림 시장에 쿠키나 캐러멜을 첨가해 독특한 가치를 만들 어낸 벤앤제리 아이스크림, 건강과 가족적인 분위기를 ... 저가 호텔까지 다양한 라인의 브랜드를 확보해 다른 업체가 틈새로 들어오는 걸 원천적으로 막아낸 힐튼호텔 그룹3) 후발 기업 전략① 원가 우위 전략 : 저가의 TV 개발한 비지오 LCD
    리포트 | 5페이지 | 3,000원 | 등록일 2019.09.15
  • 광주교통공사 차량직 전공면접 기출
    시계방향으로 90도 회전시키면 ERM 장치가 활성화 됩니다.TCMS열차종합제어장치를 뜻하며, 마이크로 컴퓨터 시스템으로 각종 주요기기를 제어하고 승무원에게 운전에 필요한 각종 정보를 ... (공기)압축기1단으로 압축하여 스크류방식을 사용하고 있습니다.LCD객실안내표시기서비스 장치로써 역 안내정보, 출입문 방향, 공익홍보, 생활정보등의 운영이 가능한 장치입니다.LED노선안내표시기차량
    자기소개서 | 6페이지 | 3,000원 | 등록일 2024.04.11 | 수정일 2024.07.17
  • 위험물기능장(공업경영기출문제)단답식-
    유지하기 위하여 이용하는 표1.P (불량률)이항분포1.X (무게)2.Pn(불량개수)2.X바-R (평균치)축의 완성지름, 철사 인장강도아스피린 순도와 같은 데이터를 관리자동차 또는 LCD ... 분석과거의 자료를 수리적으로 분석하여, 일정한 경향을 도출한 후,가까운 장래의 매출액, 생산량 등을 예측하는 방법시계열적변동에의한분류1.추세변동장기적인 변동의 추세를 나타내는 변동2 ... 정도를 나타내는 값4.시료채취하고측정하여 관리도작성특성요인도문제가 되는 결과와 이에 대응하는 원인과의 관계를 알기 쉽게 도표롤 표시한 것으로 브레인 스토밍과 관련있음생선뼈그림도안시계
    시험자료 | 7페이지 | 2,000원 | 등록일 2020.10.25
  • LCD의 원리와 응용 정리 PPT
    컬러 필터를 이용하여 광원에서 나온 빛의 양과 색을 조절하고 , 이 빛을 이용하여 다양한 색을 표현함LCD 의 이용 휴대폰이나 카메라의 영상 화면 , 텔레비전 , 모니터 , 전자시계 ... 휴대용 계산기와 디지털 시계를 선보임##원래 80도에서 작동함 1980년대 최초의 컬러 평면 LCD TV가 일본에서 출시됨 1990년대 훨씬 더 큰 평면 텔레비전 스크린이 액정으로 ... LCD목차 LCD 의 정의 액정이란 ?
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.30
  • 압전소자의 원리 레포트
    이에 따라 마이크로폰이나전축의 픽업에는 로셸염, 전화기나 라디오의 스피커, 초음파 탐지기, 수정시계의 진동자, 방송기기, 원거리 통신회로 등에는 수정과 티탄산바륨 등이 압전소자로서 ... 그리고 정밀계측기기 분야에서는 압전 마이크로미터, straingage, positioner 등에 사용되고 디스플레이 분야에서는 LCD backlight용 트랜스포머, 비디오 자동초점용
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.27
  • 구심력결과레포트
    r ^{2}} (R:회전 반경, m:회전체 질량, T:회전 주기)실험 기구 및 장치구심력 측정 장치20g, 30g, 50g 무게 추전원 연결선실험 방법1)실험 기기 전원 케이블을 LCD ... 0.90실험 분석구심력의 이론값과 실험값이 원래는 같게 나와야 하지만 이번 실험에서 이론값과 실험값 사이에 큰 오차가 발생하였다.실험과정에서 주의해야할 점은 구심력을 측정하기 전에 볼륨을 시계
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.12
  • 할인- 군무원, 공기업 전자직 면접대비자료
    (주로, LCD 화면) +단발형 파형 등의 순간 포착, 저장, 확대, 분석, 출력 등에 유리합니다.(9) 오실로스코프의 주요 구성부(아날로그 오실로스코프 기준): 오실로스코프는 프로브 ... 에어사이렌이란 유인등대나 선박에 설치한 음파표지의 일종으로써 안개,비,눈 등으로 시계가 나쁠 경우 압축공기에 의해 경적을 울릴 수 있는 음향신호장치입니다.(58) 정현파가 무엇인지?
    자기소개서 | 20페이지 | 3,500원 | 등록일 2021.09.25 | 수정일 2023.10.24
  • 2015년 상반기 현대자동차 인턴 서류 합격 자기소개서
    대부분의 다른 조에서는 LED에 시간을 표시함으로써 손쉽게 진행을 했지만, 저희 조는 전자시계의 컨셉을 살리기 위해 LCD를 이용하기로 한 것입니다. ... 저희 조의 전략은 디지털 LCD 시계를 만들고 연도, 월, 일, 요일, 시, 분, 초를 표시하며 인터럽트를 사용하여 커서를 이용할 수 있고 시간변경기능을 추가하였으며, 포트다이오드와 ... 프로젝트는 win8051키트를 사용하여 다기능 디지털시계를 만드는 것이었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2016.05.28 | 수정일 2016.08.24
  • VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... > < segment > Conclusion & Evaluation 이번 실습은 디지털 시계를 만드는 것으로, segment와 LCD를 통해 검증하였다. segment는 시, 분, ... 가산점 ( LCD ) code 전체 코드보다는 segment와 다른 부분과 그에 대한 설명을 씀 entity에서는 LCD에 필요한 LCD_A, LCD_EN, LCD_D를 지정해 주었다
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 타이머 / 디지털 시계 [마컴 예비레포트]
    실험 목적AVR ATmega 128을 이용하여 타이머를 사용해 디지털시계를 만들어 보는 기초적인 응용을 통하여 작동원리를 알아본다.2. ... 20721583 마이크로컴퓨터박민연20721583 마이크로컴퓨터 실험박민연10월 12일자 예비레포트실험 6주차 PWM [Pulse Width Modulation]타이머 / 디지털 시계1 ... ; initialize text LCD;------------------------------------------------;Initialize Digital Clock;-----
    리포트 | 12페이지 | 1,000원 | 등록일 2012.05.22
  • Atmega32 임베디드 프로세서
    파형으로 출력 DC 모터 , LED 제어 ATmega32 8bit 타이머 / 카운터 : 2 개 16bit 타이머 / 카운터 : 1 개카운터로 동작할때 외부 클럭 입력 단자 :TOSC1 시계 ... test ______); lcd_gotoxy (0,1); lcd_puts (_LCD test ____^^); } }1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 ... , 커서표시 0, 깜빡임 X 0000 1001 x09 LCD ON, 커서표시 X, 깜빡임 0 0000 1011 x0A LCD ON, 커서표시 0, 깜빡임 0 40us 기능설정 0 0
    리포트 | 77페이지 | 1,000원 | 등록일 2019.01.28
  • LCD 제어 최종보고서
    LCD 최종보고서LCD 디지털시계의최종 보고서로 제출합니다.2007년 11월 30일학 번 :성 명 :제 1 장 개 요1.1 실험 과제 명 및 개발 기간1.2 개발 목적 및 목표제 2 ... 디지털 시계개발 기간 - 1주 ~ 3주 : 기획 및 기본 지식 공부3주 ~ 5주 : 기판 납땜 및 LCD 장착5주 ~ 6주 : 회로 구현 및 프로그램 디버깅1.2 개발 목적 및 목표ATmaga ... 디지털 시계 구현을 목표로 원하는 초기 시간은 11:30분으로 시작하여 1번째 스위치로 커서를 움직이고 2번째 스위치로 1씩 증가시킨다.
    리포트 | 28페이지 | 2,500원 | 등록일 2011.11.16
  • 전전컴실험Ⅱ 06반 제15주 Project [최종보고서] 전자시계, digital watch
    느낀점‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 12< 초록 (Abstract) >마지막 프로젝트는 lcd에서 기본 디지털 시계 및 추가 기능을 구현 하는 것이 목표였다. rs, ... (나) Character LCD는 LED 또는 7-Segment를 제어하는 방법과 다르다.Character LCD 모듈에는 Character LCD에 문자를 표현하기 위한 Controller가 ... (나) 실제로 아래 그림과 같은 TEXT LCD 단자에 물리적으로 연결되어 있으며, 이를통해 LCD에 나타나는 출력을 결정한다.3. 실험 결과가.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.09.09
  • 광운대학교 전기공학과 2학년 2학기 마이크로프로세서응용설계 수업 실습 자료
    ) // LCD Enable (for instruction) #define LCD_WRITE (*(volatile unsigned char *) 0xE202) // LCD Write ... ➀ 목표ATmega128의 MC-E02MS5 보드의 LCD에서의 문자 출력을 확인.➁ 과정1. LCD에 원하는 문자를 출력하기 위한 플로우 차트를 작성한다.2. ... (for Display)#define LINE1 0x00 // LCD DISPLAY LINE1#define LINE2 0x40 // LCD DISPLAY LINE2
    시험자료 | 1페이지 | 1,500원 | 등록일 2018.04.22 | 수정일 2018.12.01
  • 제어계측공학과 졸업작품『ATMEGA128을 이용한 지능형 알람로봇』
    알고리즘그림2. 19 전체 시스템 알고리즘시스템을 시작하게 대면 LCD메인 화면에 현재시간을 출력한다. ... FLASH-ROM/EEPROM 다운로드, 통신 프로그램 등이 함께 제공 됨.- 자동 소스 코드 생성 기능(CodeWizardAVR)- 풍부한 라이브러리 함수 제공 : 통신(UART), LCD표시 ... 그러나 일반 알람시계의 경우, 일어나고자 하는 시간이 되어 알람소리가 울리면 알람소리를 듣고도 무의식적으로 시계를 꺼놓고 다시 잠들어 늦는 경우가 빈번하게 일어난다.
    논문 | 30페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다.사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 전전컴설계실험2-Final Report
    LCD 화면에 표시하여 시계와 같은 기능을 하는 것을 확인 할 수 있다. ... 이제까지 실험하였던 TEXT LCD 실험을 바탕으로 Digital Clock이 가지는 기능을 잘 이해하고, 명령어와 data입력을 확장시켜 시계를 구현 해 본다. ... 기본 디지털 시계 및 추가 기능을 구현 하는 것이 목표이다.
    리포트 | 24페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:02 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대