• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(71)
  • 리포트(70)
  • 시험자료(1)

"bcd to fnd" 검색결과 61-71 / 71건

  • 디지털시계 보고서
    카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.그러나 우리는 BCD to 7 세그먼트 디코더(7447)를 AND, OR 게이트로 설계를 ... 먼저 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다. modulo-N 카운터의 종류는 2진 카운터, 6진 ... 시간을 신호 나타낸 다음에서 이 신호를 사람이 볼 수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.12.12
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    :-> Clock (Active LOW going edge) Input to2 section:-> Clock (Active LOW going edge) Input to5 section ... 연결하였다. 74LS47 와 FND 사이에 저항 330 1/4W을 한 개씩 연결하고, LED에는 68 1/4W을 연결하였다. ... 서론주변에서 흔히 볼 수 있는 디지털시계는 카운터를 이용해 설계할 수 있는 es - BCD- Input Clamp Diodes Limit High Speed Termination Effects
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • 디지털 시계 설계에 관하여
    아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.■ 디지털 시계 전체 블록도■ 동기식 modulo-N 카운터 설계▲ 동기식 순차회로와 비동기식 ... 시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2008.07.02
  • 적외선 송수신기를 이용한 음성 및 영상정보표시기 제작
    수신기 센서를 이용, 먼 거리에서 오는 버스의 번호를 FND와 음성을 이용해 미리 알 수 있게 하여 불편한 점을 해소하였다.작품특징? ... 적외선 송수신기를 이용한 버스도착 표시기는 다양한 분야에 저가격으로 응용이 가능하다.송신부 수신부 FND적외선도트매트릭스 소리녹음부1. ... 6If (A7~4) > 9 or CY = 1, (A7~4)←(A7~4)+6ADD 및 ADDC 명령을 수행한 후에 누산기에 저장되어 있는 바이트 데이터를 밀집형 10진수(packed BCD
    리포트 | 33페이지 | 3,000원 | 등록일 2008.12.19
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.▷ 디지털 시계 전체 블록도② CAD 프로그램의 사용법을 익힌다.③ 설계된 회로를 이용한 ... 시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • [디지털 입력과 출력] 디지털 입력과 출력
    TTL 74LS47(BCD-to-7세그먼트 디코더) 칩을 이용한 숫자 표시 회로로 나타낸다.스위치 SW1~SW4를 이용해 입력에 따라 출력이 7세그먼트 표시기에 나타나는 숫자이 모양을 ... ■실험장비: LED 6개, FND 1개, PUSH 스위치 3개, 딥 스위치 1개, IC LS7408N 1개, IC 74LS00N 1개, 74LS113D 1개, LM555CN 1개,
    리포트 | 4페이지 | 1,000원 | 등록일 2005.08.31
  • 디지털 시계 사업 계획서
    카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7 ... 아래그림에 나타낸 디지털시계의 전체 회로도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이 ... 시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다.
    리포트 | 19페이지 | 1,000원 | 등록일 2007.03.11 | 수정일 2018.07.21
  • 디지털 시계 설계
    아 래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하 여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.디지털 시계 전체 블록도{동기식 modulo-N 카운터 설계동기식 순차회로와 비동기식 순차회로순차회로는 ... 시간을 신호 나타낸 다음에서 이 신호를 사람이 볼수 있게 FND를 이용하게 된다. 7-세그먼트에 시간을 나타내는 신호를 보내서 10진수로 표현한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2004.09.18
  • [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer(결과)
    TO 10-LINE DECIMAL DECODER, BCD-10진 디코더이다. 0상태의 출력 만 선택되며 모든 타당하지 못한 조합은 1을 출력한다. 4개의 입력은 10개의 출력으로 ... 입력과 출력에 Bubble이 있고 출력이 Open Collector이기 때문에 출력에 공통 애노드형 FND를 전류제한 저항과 함께 Vcc에 연결한다.4비트 2진수 입력에 해당하는 출력이 ... BCD-10진 디코더의 경우 정보는 BCD로 표현된 10개의 10진 디지트이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2003.10.25
  • [논리회로설계] BCD-to-7세그먼트 설계
    BCD-to-7세그먼트 설계하기1) Dual 4-Bit Up Counter(74393)와 BCD-to-7세그먼트 디코더(74248), 논리 게이트로 만든 BCD-to-7세그먼트 ... 신호를 지연시키는 counter(Clock 입력시간 : 0.67초)를 이용한 BCD-to-7세그먼트 설계도 ... 디코더를 사용하여BCD-to-7세그먼트를 설계하였습니다.2) Clock 주파수가 50MHz이기 때문에 카운트하는 모습을 보기 위해 Dual 4-Bit Up Counter(74393)
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.12
  • [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer
    이 때 선택선과 인에이블 입력들은 모두 다중 멀티플렉서 장치 IC들에 공통으로 들어가 있다.예를 들어 4개로 된 2 1 MUX(quadruple 2-line to 1-line or ... 핀구조)10. 3 시료 및 사용기기- 전원 : +5V dc 전원- 저항 : 470Ω(2EA)- 반도체 : 74LS42, 74LS47, 74LS139, 74LS148, 74LS151, FND507 ... 밀티플렉서와 디멀티플렉서의 구성방법을 익혀 각종 플렉서를 만들 수 있는 능 력을 키운다.10.2 관련이론(1) 디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가
    리포트 | 26페이지 | 1,000원 | 등록일 2003.10.25
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:31 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대