• 통큰쿠폰이벤트-통합
  • 통합검색(737)
  • 리포트(683)
  • 자기소개서(36)
  • 논문(10)
  • 시험자료(7)
  • 방송통신대(1)

"dc모터 원리 제어" 검색결과 61-80 / 737건

  • [A+] 제어공학실험 스탭모터 실험 보고서
    제어공학실험Step-MotorⅠ. Step-Motor원리1. ... Step-Motor의 정의Step-Motor는 외부의 DC전압 또는 전류를 모터의 각 상 단자에 스위칭방식으로 입력시켜 줌에 따라 일정한 각도의 회전을 하는 모터이다. ... Step-Motor의 종류4. Step-Motor의 장단점(1) 장점① 피드백기능이 불필요하며 제어가 간단하다.
    리포트 | 9페이지 | 2,500원 | 등록일 2019.11.28
  • 부산대학교 기계공작법실습 보고서 범용밀링
    일반적으로 DC모터는 회전 제어가 쉽고 제어모터로서 아주 우수한 특성을 가지고 있다고 할 수 있다. ... 범용밀링머신에는 일반적으로 대형 DC모터가 장착되어 있다.DC모터란 고정자로 영구자석을 사용하고 회전자(전기자)로 코일을 사용하여 구성한 것으로, 전기자에 흐르는 전류의 방향을 전환함으로써 ... DC 모터는 기동 토크가 크며 인가전압에 대하여 회전특성이 직선적으로 비례한다. 그리고 입력전류에 대하여 출력 토크가 직선적으로 비례하며 출력 효율이 양호하다.
    리포트 | 20페이지 | 1,000원 | 등록일 2021.11.12
  • 논리회로설계실험 라인트레이서 레포트
    아래는 양쪽 모터 차동 속도 제어기를 설계한 코드이다.3. ... 수광센서는 이 전압의 양에 따라 물체의 유무를 관측할 수 있다.2) 스테핑 모터DC전압 또는 전류를 모터의 각 상단자에 스위칭 방식으로 입력시켜 줌으로서 일정 각도씩 회전하는 모터이다 ... 그러나 이번 실험에서는 RoV-Lab3000이 잘 동작하지 않아 테스트 벤치를 이용하여 스텝모터 작동원리와 적외선 센서의 작동 원리에 대하여 이해하고 line racer를 설계해본다
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 자동차 공학 1학기 중간고사
    ISC 모터는 공회전을 조절하는 모터로 3가지 방식으로 작동된다. DC모터 방식은 운전자가 액셀레이터를 놓으면 아이들 스위치가 눌려서 공회전 모터를 구동시킨다. ... 이를 보완하기 위해 만들어진 열막방식은같은 원리로 작동 하지만 열선식에 비해 열 손실이 적고 오염도가 낮고 클린 번을 진행하지 않아도 된다. ... 자동차 전자 제어엔진의 센서에 대해서 아는 대로 기술 하시오1. 공기유량센서(AFS)는 전자 제어 엔진에서 흡입되는 공기량을 측정하여 값에 따라 연료 분사량을 조절한다.
    시험자료 | 2페이지 | 2,000원 | 등록일 2021.05.11
  • 한화정밀기계 합격자기소개서
    [반도체 전공학습 - '반도체제조공정 , 반도체 장비설계']반도체 장비 센서인 광화이버센서, 유도형근접센서, 정전용량형근접센서, 초음파센서 등을 학습하고 엑추에이터인 DC모터 Step모터에 ... 학부시절 지식을 학습할 때 단순 암기보다는 원리부터 이해하고자 노력했습니다. ... 장비의 원리와 메뉴얼을 완벽히 익히도록 하겠습니다.입사 후 저의 목표는, 10년 이내 아래 3가지를 겸비하여 한화가 세계 일류 반도체 장비회사로 나가는데 함께 하고 싶습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2022.12.28
  • [기계공학과 학사졸업논문] 탁구공 발사장치의 설계 및 예상궤도 계산
    기어드 모터 컨트롤러(좌측), 컨트롤러 도면(우측) 소형 DC 모터 컨트롤러(좌측), 컨트롤러 도면(우측) 구동부 레일 설계3.2.3 고토크 기어드 모터 지지대고 토크 기어드 모터의 ... 고정부의 폭을 46.5mm로 설계했다. 36.5mm인 소형 DC 모터 컨트롤러의 고정부는 37mm로 설계해 컨트롤러를 유격 없이 고정시켰다. ... 상, 하의 롤러는 한 개의 가변저항으로 조절이 가능해서 공의 발사속도를 변경할 수 있어 수평도달거리도 제어한다.
    리포트 | 51페이지 | 12,000원 | 등록일 2020.12.26
  • 실험5 스텝모터 및 RC서보모터 결과보고서 (아주대 자동제어실험)
    실험목적그림 1 RC 서보모터 - RC 서보모터원리 이해 및 제어2. 실험 기자재- PC, NI ELVIS II- RC 서보 모터3. ... 있다.- DC 서보모터제어하기 위해 모터를 구동시키는 드라이버가 필요하며, 이 때, PWM, Direction 신호등이 필요하다.- 반면 RC 서보모터는 내부에 드라이버가 포함되어 ... 실험고찰이번 실험에서는 스텝모터의 잦은 고장으로 인해, RC 서보 모터에 대한 실험을 중심으로 했다. RC서보모터는 PWM 신호만으로 제어가 가능하다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • 아주대학교 기계공학응용실험 A+ 자료 : 결과보고서 DC모터 구동 및 DAQ
    BLDC 모터 : DC모터와는 다른 특성을 가지고 있음.1) DC모터와 BLDC 모터의 장단점DC 모터BLDC 모터1. 플레밍의 왼손법칙 이용함.2. 제어 쉬우며 저렴함.3. ... BLDC 모터의 특성과 원리에 대해 이해하고 DAQ 보드 및 소프트웨어를 활용하여 모터를 구동한다.2. 실험 이론? ... - 결과 보고서 -실험 제목 : DC모터 구동 및 DAQ과목명 : 기계 공학 응용 실험제출일: 2019년 5월 20일실험일자: 2019년 5월 13일실 험 조 명 :-책임 수행자 :
    리포트 | 27페이지 | 1,500원 | 등록일 2019.10.12 | 수정일 2019.10.14
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)5. 전압 제어 발진기 할인자료
    docId=759749&ref=y" 시스템, 모터의 회전 제어 등에 쓰이는 중요한 부품이다.실습을 통해 VCO회로를 구성하고 있는 Op amp, BJT의 동작 원리와 그 특성에 대해서 ... (DC power supply로 Vc의 전압을 공급)v_c=0.5V일 때 주파수 약 6.01kHzv_c=1V일 때 11.0kHzv_c=1.5V일 때 14.1kHzv_c=2V일 때 15.8kHzv_c ... 설계실습내용 및 분석5-4-1 설계한 전압제어 발진기 회로의 구현그림 5-1의 적분회로를 응용한 전압제어 발진기 회로를 구성하라.
    리포트 | 4페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 2021 삼성전자 CE/IM(DX) 영상디스플레이사업부(VD) 최종합 자기소개서
    두 번째 제품으로는 ATmega128을 활용한 모터제어기를 제작했습니다. ... 모터 제어기를 설계하며 선형 레귤레이터의 사용방법, 모스펫 게이트 저항의 사용 이유,다는 가정으로 제품 사용설명서까지 제작했습니다.회로 개발 능력을 증진시키기 위해 완제품 개발에 도전하며 ... 단계의 보드를 Revision 진행 한 경험이 있습니다.REVISION을 진행하며 제가 경험한 내용은 아래와 같습니다.1) Power단의 경우 24Vdc SMPS의 입력을 받아 DC-DC
    자기소개서 | 6페이지 | 3,000원 | 등록일 2022.10.15
  • [A+] 제어공학실험 세그웨이 보고서
    구성라인트레이서의 구조는주로 8비트의 저렴한 CPU와 DC모터나 스테핑 모터, 적외선센서 등으로 이루어지며 여기서적외선센서는 보통 2조(1조는 적외선센서 - 발광부와 수광부)이상 사용되는데 ... 제어공학실험Segway1. 라인트레이서의 정의정해진 주행선을 따라 움직이는 자율이동 로봇으로서 전문용어로 AGV(Automatic Guided Vehicle)라고 불린다. ... 라인트레이서의 기본 원리1. 기본 원리바닥에 적외선 센서를 부착하여, 반사되어 들어오는 광량으로 라인을 구분한다.2.
    리포트 | 5페이지 | 2,500원 | 등록일 2019.11.28
  • [자기소개서] LG전자 재직자의 합격 자기소개서
    SVPWM 구현할 때 offset voltage를 이용한 구현방법이 신기하고 궁금하여 simulink를 이용하여 구현해보고 파형을 확인해 보았으며, DC 모터의 전류제어, 속도제어, ... 이 과목을 통해 회전자계, 모터와 변압기의 등가회로, 모터의 구동원리를 배울 수 있었습니다.전력전자를 통해 여러 종류의 컨버터, 정류기, 인버터를 배웠습니다. ... TMS 320C28346을 이용하여 속도제어기를 구현하는 프로젝트도 해 보았습니다.학부 시절 배운 지식을 기반으로 석사 때는 김장목 교수님의 연구실에 들어가 좀 더 깊게 모터제어
    자기소개서 | 4페이지 | 3,000원 | 등록일 2021.02.25
  • 전기전자 전공면접 대비(한전,KT&G,남동발전)
    수 있어 산업기계에 많이 사용되고 있다.피스톤 모터피스톤 펌프와 구조가 유사하다직류: 항상 일정한 방향으로 흐르는 전류, DC로 표기교류: 시간에따라 크기와 방향이 주기적으로 변하는 ... 기준점을 일치시킴으로써 회로 내부, 외부기기와 주고 받는 신호를 같게 하는 것이다정전차폐: 접지된 금속으로 물체를 완전히 둘러싸 외부 정전기장에 의한 정전 유도를 차단하는 것이다.유압 모터기어모터유압모터 ... 전동기는 사용하는 전기 에너지의 종류, 동작원리, 가동방식등에 따라 다양하게 분류할 수 있다.직류전동기의 회전원리직류전동기는 플레밍의 왼손법칙이 적용되어 작용하는 기기이다직류전동기는
    자기소개서 | 12페이지 | 5,000원 | 등록일 2022.03.15 | 수정일 2022.11.27
  • [조선대 자동차공학 기말고사 정리]
    회전력을 바퀴에 전달- 전지 : → DC → 전력 변환기(Inverter) → AC → 교류 → AC → 모터? ... - 모터 : 바퀴 구동- 대용량 전지 필요)하이브리드 트럭 / 버스- 시보레 Volt- 현재는 효용성 ↓* 모터 + 인/컨버터(전력변환장치:DC(직류)↔AC(교류)) + 배터리⇒ 이 ... 난방* O2 : ① Filter(분리막) 이용* H2 : ① 수소충전소, ② 개질 → 연료탱크(탑재형 개질기(On-board reformal) 장착)(2) 연료전지의 전기 발생 원리
    리포트 | 22페이지 | 4,000원 | 등록일 2021.10.21
  • 자동제어 보고서 병아리 부화기
    때문에 소형부화기를 제작해 그 원리를 파악하도록 노력하겠습니다. ... 로보티즈드림을 이용해 더 큰 전란을 시도하고, 기존과는 달리 모터를 사용하지 않고 XL-320 이라는 비교적 소음이 적은 모터를 달아 소음을 줄여보겠습니다.2분석특성파악대상시스템에 ... ㈜오토일렉스의 배종윤대표의 말에 따르면 그동안 자동차 엔진 액튜에이터를 생산해왔는데, 이 기술이 자동전란장치와 매우 비슷하며, 이는 자동부화기의 원리와 직결된다고 밝혔습니다.
    리포트 | 23페이지 | 3,000원 | 등록일 2020.06.09
  • 전동기 및 발전기의 원리와 구성요소에 대한 특성
    , 모터(Motor)라고도 한다. ... 발전기 동작의 기본원리는 전동기의 원리와 같이 앙페르 법칙과 패러데이의 유도 법칙이다. 따라서 전기에너지의 역변환은 전동기로 수행되며 모터와 발전기는 많이 흡사하다. ... 계자극이 자기장을 형성하는 방법에 따라서 Wound-field motor와 Permanent motor로 분류할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.05.22
  • [앰코코리아] 2021년 하반기 합격 자소서 (TEST 엔지니어)
    Fluid Sim 툴을 사용하여 시퀀스 회로를 제작하였고, 그것을 기반으로 PLC를 이용하여 FESTO의 공압 실린더, 센서, DC-MOTOR, SERVO-MOTOR 등을 이용해 프로그램 ... 설계 및 제어를 할 수 있도록 능력을 키웠습니다. ... 이를 통해 ‘전자회로’ 수업에서는 pn 접합, BJT, MOSFET 등의 개념과 소자의 동작 원리 및 특성을 학습하였고, 회로를 구성하여 트랜지스터 증폭기와 집적회로에 대한 대신호
    자기소개서 | 5페이지 | 3,000원 | 등록일 2022.03.07
  • 한화케미칼 합격 자소서 (STAR 기법 적용, 자소서 전문 컨설팅 자문으로 작성)
    이러한 경험은 설계도면을 검토하고 설비 기계 오류를 파악함으로써 품질향상 및 최적화된 생산설비라인 구축에 일조할 수 있다고 자부합니다.[ DC 모터 자동제어 ]자동제어과목에서 DC ... Motor를 활용하여 P.I.D와 Lead Lag 제어를 해보았습니다. ... 이러한 경험은 생산기계의 전반적인 제어시스템을 이해할 수 있고 작동원리를 파악하여 생산기계 품질에 문제없는지 접근할 수 있습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2020.06.29
  • 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    반면 아래 그림상의 Z상은 한 회전당 한번의 펄스를 생성하여 회전수를 측정할 때 사용한다.실험2) 스텝모터(STEP-MOTOR)DC 모터와 달리 스텝모터는 전자석의 기능을 이용하여 ... 이러한 원리모터가 회전하는데 위 그림과 순서를 반대로 하면 반대로 돌게 될 것이다. 회전 각도는 입력 펄스의 신호의 수에 비례한다. ... 이때의 delay time을 주파수로 환산하고, delay time과 주파수를 기록(최대자기동주 파수확인)9) step모터의 구동원리와 특성에 대하여 토의 프로그램 분석10) 다음의
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • [생기부][세특][정보 과목 특기사항 예시문] 정보 과목 세특 예시문입니다. 유익하게 사용하시기 바랍니다.
    기기는 흑백 라인 트레이서, DC 모터, 소리 감지 센서, LCD, 건전지를 사용하였으며, 직접 계획했던 자동차 모양의 도면을 성공적으로 제작함. ... 연산 장치와 제어장치 단원에서는 각 레지스터의 특성과 기능을 이해하고 장치 간 연결과 데이터 저장을 위한 동작 제어 흐름에 관하여 학습하였으며 새로운 예시를 들어 이를 설명함. ... 라인 트레이서와 스마트 자동차의 원리에 궁금증을 생겨 본인이 직접 제작하고자 계획하게 됨.
    리포트 | 4페이지 | 3,000원 | 등록일 2021.08.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:43 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대