• 통큰쿠폰이벤트-통합
  • 통합검색(888)
  • 리포트(808)
  • 자기소개서(33)
  • 시험자료(15)
  • 논문(12)
  • 방송통신대(12)
  • 서식(3)
  • 이력서(3)
  • ppt테마(2)

"logic design" 검색결과 61-80 / 888건

  • 연세대학교 기초디지털실험 1주차 결과레포트
    Design Source Add & CreationAfter creating project in right path, we should make specific logic gate ... are the most basic units of design. ... Research on theoryA digital logic circuit does operation with binary signals, 0 and 1.
    리포트 | 13페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2023.01.07
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 4 보고서
    The examples of a sequential logic circuit are designed using FSMs with Verilog HDL. ... a logic circuit. ... Abstract Unlike a combinational logic circuit, a sequential logic circuit generates its outputs based
    리포트 | 17페이지 | 3,000원 | 등록일 2020.08.18
  • 서강대학교 디지털회로설계 과제 Full custom desgin
    수 있는 logic design 방법이다. ... 칩의 크기가 full-custom에 비해 큰 것을 알 수 있다.semi-custom design은 full-custom design과 달리 표준 cell로 알려진 미리 설계된 logic ... design과 달리 표준 cell로 알려진 미리 설계된 logic cell(AND gate, OR gate, multiplexers)을 사용한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 삼성전자 회로설계직 합격자소서
    입사 후에는 logic design의 모든 과정을 경험하며 혼자서도 MDDI를 logic design할 수 있는 전문 엔지니어가 되겠습니다. ... [깊어진 디지털 회로설계에 대한 관심]인턴 기간동안 MDDI 개발팀에서 logic 설계를 진행했습니다. ... 선배들의 설계 노하우들을 통해 RTL design을 진행하며 더욱 흥미롭게 설계를 진행했습니다.특히, 신호 제어 방식에서 흥미를 느꼈습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.02.11
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 5.Combinational-2 - 예비+결과+성적인증 (서울시립대)
    Design various combinational logic circuits in Verilog & verify circuits with their test fixtures 2. ... Learn how to design circuits excluding unwanted sequential logics with case & if statements.배경 이론 및 사전 ... Design various combinational circuits including encoder/decoders, MUX/DEMUXs. 4.
    리포트 | 25페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.14
  • 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    ;architecture design of parity_checker issignal parity: std_logic_vector(n downto 0); ... std_logic_vector(n-1 downto 0);result : out std_logic; b : out std_logic_vector(n downto 0 ));end parity_checker ... _1164.all;use ieee.std_logic_arith.all;entity parity_checker isgeneric(n : integer := 7);port ( a : in
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    traffic_sign;architecture design of traffic_sign isconstant RGtime : integer:= 10;constant RYtime : ... ;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1, segY1 ... , segG1 : out std_logic_vector(6 downto 0);segR2, segY2, segG2 : out std_logic_vector(6 downto 0));end
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 디지털집적회로 inverter 설계도 및 시뮬레이션 결과
    I also used CMOS logic for my inverter design for that reason.1. ... CMOS logic has wider noise margin and lower power consumption, so is the basis of most gates in integrated ... circuit design today.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.01.30
  • Semiconductor Device and Design - 8_
    Method of implementing Half-adder ■ Half-Adder logical circuit3. ... Layout of the full-adder ■ full-adder logic symbol and truth table3. ... Semiconductor Device and Design - 7 KwangWoon UniversityContents 1. CMOS process design rules 2.
    리포트 | 18페이지 | 2,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 부경대 전자공학과 디지털시스템설계 중간1(인코더)
    (7 downto 0); V : out std_logic; x : out std_logic_vector(2 downto 0) );end incoder;architecture design ... [단, if~end if 구문을 사용]library ieee;use ieee.std_logic_1164.all;entity incoder is port ( D : in std_logic_vector
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 시립대 전전설2 A+ 2주차 예비레포트
    실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여 ... Automation사에서 하드웨어 기술언어인 HiLo와 C 언어의 특징을 기반으로 개발1991년 Cadence Design Systems가 Open Verilog I자인한 예시Gate ... 공인 HDL- 전세계적으로 설계 및 설계정보 교환의 수단으로 사용이 확대효율적인 설계관리- 기능별 분할 설계, 관리 및 문서화 용이6) Verilog HDL1983년 Gateway Design
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 서울시립대 전전설2 Lab-02 예비리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ예비리포트Lab-02 Schematic Design with Logic Gates작성일: 20.09.131. ... 실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 10페이지 | 1,500원 | 등록일 2021.09.10
  • 서울시립대 전전설2 Lab-02 결과리포트 (2020 최신)
    전자전기컴퓨터설계실험Ⅱ결과리포트Lab-02 Schematic Design with Logic Gates작성일: 20.09.191. ... 실험목적Xilinx ISE Design Suite를 이용하여 digital logic을 schematic으로 설계하는 실험이다. ... 앞선 Lab-01에서 디지털 설계에는 두 가지 방법, standard logic IC와 ASIC가 있다고 배웠는데 Lab-01 실험에서는 standard logic IC를 이용했고
    리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • Semiconductor Device and Design - 11__
    Semiconductor Device and Design – 11 KwangWoon UniversityContents 1. ... Eggleston [2] https://www.electronics-tutorial.net/Programmable-Logic-Device-Architectures/Programmable-Logic-Devices ... Layout, Operating principle, Structure of the Control sub system PLA (Programmable Logic Array)1.
    리포트 | 14페이지 | 2,000원 | 등록일 2023.06.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    있으므로 VHDL을 사용한 Design은 많은 다른 회사들간의 호환성이 보장된다④ VHDL을이용한 Top-Down 방식의 Design이 가능하여 설계기간이 훨씬 단축되고, 설계자가 ... IEEE에서 표준이 되는 Logic Value System을 제안했음에도 많은 S/W 판매사들은 각각의 Logic Value Systme을 작고 있어서 그 Value들을 설계자는 잘 ... VHDL- 장점: ① VHDL은 매우 넓은 범위의 Design을 가능하게 해 준다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털집적회로설계 이론과제
    Prob. 1) Dynamic CMOS (10 pts)Suppose we wish to implement the two logic functions given by F = A + B ... Assume both true and complementary signals are available.Design these functions in dynamic CMOS circuit
    리포트 | 5페이지 | 2,000원 | 등록일 2023.11.25
  • [검증된 코드 & 복사가능, 학점A+] 전전설2 2.Schematics - 예비+결과+성적인증 (서울시립대)
    of the maximum number of logic gates that could be realized in design consisting of only logic functions ... ([Table 1], Morris Mano)Table 1maximum number of logic gatesThe maximum number of logic gates is an estimate ... implement the same number and type of logic functions.
    리포트 | 14페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.13
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    회로 설계(circuit design) 2. 논리 설계(logic design) 3. 시스템 설계(system design) 4. ... 플립플롭(flip-flop)과 같은 논리소자를 만들기 위한 단계이다.두 번째로 논리 설계(logic design) 단계는 논리소자들을 연결시키는 단계로 가산기, 카운터, 레지스터와 ... 실제적 설계(physical design) 로 구분할 수 있다.첫 번째로 회로 설계(circuit design) 단계는 능동소자와 수동소자를 연결시키는 단계로 게이트(Gate)나 단위기억소자인
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • 한양대 Verilog HDL 3
    )의 assign, function문에는 blocking을 사용하고, 순차회로 (sequential logic)의 always문와 latch design에서 Non-blocking을 ... block 하는 역할이고, Non-blocking은 value
    리포트 | 7페이지 | 2,000원 | 등록일 2023.03.21
  • NAND게이트 자세히 설명하고 NAND게이트를 사용하는 이유 NAND 와 NOR 게이트로 회로를 구성하는 경우가 많은데 어떤 점 때문인지
    Kinney, "Fundamentals of Logic Design," 7th Edition, Cengage Learning, 2013.[5] N. H. E. ... Vranesic, "Fundamentals of Digital Logic with VHDL Design," 3rd Edition, McGraw-Hill, 2008.[3] R. ... Ciletti, "Digital Design: With an Introduction to the Verilog HDL," 5th Edition, Prentice Hall, 2012.
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:24 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대