• 통큰쿠폰이벤트-통합
  • 통합검색(20,677)
  • 리포트(17,957)
  • ppt테마(1,306)
  • 시험자료(847)
  • 방송통신대(227)
  • 서식(120)
  • 자기소개서(113)
  • 논문(73)
  • 이력서(24)
  • 노하우(7)
  • 표지/속지(3)

"n.i.e" 검색결과 61-80 / 20,677건

  • [물리화학실험A+] Input & Output Impedence(입력저항,출력저항 구하기) 결과보고서
    이때 전류는I`= {E _{0}} over {(r+R _{i`n} +Z _{i`n} )}이며 측정된 전압의 크기는V _{meas} =E _{0} TIMES {Z _{i`n}} over ... :V _{meter} =E _{0} TIMES {Z _{i`n}} over {Z _{out} +Z _{i`n}}의 식에서 Vmeter가 E0와 비슷하다면 실제값에 가까운 측정을 할 ... 입력저항을 구하는 경우의 Vmeas에서는V _{meas} =E _{0} TIMES {Z _{i`n}} over {r+R _{i`n} +Z _{i`n}}이고 출력저항을 구하는 경우의
    리포트 | 6페이지 | 2,000원 | 등록일 2024.06.21 | 수정일 2024.06.24
  • 쌍용자동차파업,쌍용자동차현상황,쌍용자동차
    향후 쌍용자동차 전망 N ewspaper I n E ducation 19/25 Step 2. ... 향후 쌍용자동차 전망 N ewspaper I n E ducation 20/25 Step 3. ... 향후 쌍용자동차 전망 N ewspaper I n E ducation 18/25 갱생절차 Step 1.
    리포트 | 25페이지 | 4,000원 | 등록일 2022.08.19
  • 행정고시(5급공채) 전산직 DS(자료구조) 합격자 서브노트
    ,S_n approx 1 + alpha / 2최적 이진 탐색 트리513n개의 내부 노드를 가진 이진 트리에서 내부 경로의 길이가 I, 외부 경로의 길이가 E이면 E = I + 2n ... 있는 각 노드들은 정점 i로부터 인접된 정점을 나타냄- 무방향 그래프: 2e개 노드, 방향 그래프: e개 노드- 필요한 공간:n times log`n ``+`` 2e times ( ... x n 의 2차원 배열- 간선 (vi, vj)가 E(G)에 속하면 a[i][j] = 1- 간선 (vi, vj)가 E(G)에 없으면 a[i][j] = 0o 인접 행렬: 무방향 그래프-
    시험자료 | 40페이지 | 20,000원 | 등록일 2023.03.26 | 수정일 2023.06.07
  • [중앙대학교 3학년 1학기 전자회로설계실습] 예비보고서5 구매 시 절대 후회 없음(A+자료)
    N})가 5 V (High)일 때 LED에 2 V가 걸리고 20 mA가 흘러 LED가 ON이 되도록I _{B},I _{E},I _{C}를 구한다.구동신호 (V _{I`N})가 5 V ... _{C}의 값도 구해줄 수 있다.I _{B} = {I _{E}} over {beta +1},I _{C} = {beta } over {beta +1} I _{E} 의 식을 통해I _{ ... (B) LED가 ON될 때V _{B},V _{C}를 구한다.구동신호 (V _{I`N})가 5 V(High)일 때 다이오드에 2 V가 걸리면 우선V _{E} =2`V 이다.V _{BE
    리포트 | 5페이지 | 1,000원 | 등록일 2023.08.28
  • [부산대학교 응용전기전자실험2] 직류전동기 결과보고서
    } +I _{f} R _{f}E=V-I _{a} (R _{a} +R _{f} )여기서E=k phi N을 인지하면N`=`K ^{-1} {E} over {phi } `이며 속도 N은 자속하고 ... 토크는토크` tau `=`E` BULLET I _{a} BULLET ( {2 pi N} over {60} ) ^{-1} =`k ^{prime } PHI I _{a}이며N PHI `= ... 토크SIMEQ1.6N BULLET m* 토크 및 전동기 속도(speed = 1500 r/min)* 선전류 (I=3.006A)e.
    리포트 | 10페이지 | 1,000원 | 등록일 2024.02.27
  • 울산대학교 예비레포트 전자6장 쌍극성 접합 트랜지스터(BJT) 특성
    트랜지스터는 전류를 증폭시키는데 쓰이는데 작은 전류가 베이스에 인가되면 컬렉터단에서 증폭이 된다.I_b=베이스 전류I_e=에미터 전류I_c=콜렉터 전류I_b = I_c -I_e 이므로I_c의 ... (V)I_E(mA)V_CB(V)V_RC(V)V_EB(V)0.9971.022.01u9,97n0.9971.01010.05u9.97n2.0112.01122.01u20.11n2.0112.0111010.05u20.11n3.0413.04122.01u30.41n3.0413.0411010.05u30.41n4.0844.08422.01u40.84n4.0844.0841010.05u40.84n5.0245.02422.01u50.24n5.0245.0241010.05u50.24n5.9755.97522.01u59.75n5.9755.9751010.05u59.75n-오실로스코프를 ... 전류가I_b 에 비해 매우 커지게되면I_e CONG I_c 가 된다.회로 구성트랜지스터를 이용한 회로에는 공통베이스 회로, 공통 이미터 회로, 공통 컬렉터 회로가 있다.각각의 입력과
    리포트 | 4페이지 | 1,000원 | 등록일 2023.11.14 | 수정일 2023.11.17
  • 캐리어 오일의 종류 및 설명
    :2 Lin o l e ic acid 65-75 C18:3 A l pha l i n o l e n ic acid 0.2 C18:3 Ga m ma l ino l e n i c a c ... l i a n t h us a n n u s 과 명 : Ast e r a ce a e ( 국화과 ) 추출부 위 : 씨 추출방 법 : 냉압착 모 든 피부 , 지성피부 특 징 : 밝 은 ... 명 : Oenoth e ra b i e n nis 과 명 : On a grac e a e ( 바늘꽃과 ) 추출부 위 : 씨 추출방 법 : 냉압착 중성 , 건성 , 민감 성 피부 에
    리포트 | 50페이지 | 3,000원 | 등록일 2023.03.05
  • 기초전자실험_9장_BJT의 고정 및 전압분배기 바이어스_결과레포트
    , 표 9.3에 결과를 기재하라.2N3904V _{B} `(V)V _{E} `(V)V _{C} `(V)V _{CE} `(V)I _{E} `(mA)I _{C} `(mA)I _{B} ` ... 순서 1에서 결정한 2N3904 트랜지스터의 β를 이용하여, 그림 9-2의 회로에서V _{B},I _{E},I _{C},V _{C},V _{CE},I _{B} 의 이론적인 값을 계산하고 ... _{E} R _{E} -E _{TH} =0`(I _{E} =( beta +1)I _{B} )#I _{B} R _{TH} +V _{BE} +( beta +1)I _{B} R _{E}
    리포트 | 13페이지 | 2,000원 | 등록일 2023.02.16
  • [물리화학실험]순환 전압 전류법(CV)
    산화 및 환원 피크전류값이 동등하거나(|i _{pc}|= |i _{pa}|), 산화 및 환원 피크전위차의 값(E _{pa}-E _{pc})이 0.057/N(V) 이다.만약 전극 반응의 ... 가역적 전기화학 반응의 CV곡선을 얻고,E _{0} 값을 구하여 문헌값과 비교한다.E _{pc} `=`E _{½} - {28.5} over {n} mV나. ,E _{pa} `=`E ... {n} )LEFT | E _{p} -E _{{p} over {2}} RIGHT | `=`2.2( {RT} over {nF} )`=`( {0.0565} over {n} )위의 식으로부터
    리포트 | 7페이지 | 3,000원 | 등록일 2024.02.19
  • 아주대학교 기초전기실험 A+ 예비보고서 Ch. 12, 13 (DC)
    정리를 테브냉의 정리로 바꿀 때E _{Th} `=`I _{N} R _{N}이고,R _{N} `=`R _{Th}이다. ... 이 회로에서 가변저항은R _{Th}이므로 가변저항을R _{N}과 같도록 맞춰준 것이다.E _{Th} `=`7.20V일 것이고I _{L} `=` {E _{Th}} over {R _{Th ... {N}과I _{N}을 계산한다.4)I _{L}을 측정한다.5)a-b 연결한다.I _{N} /2이 되게 가변저항을 조절하고I _{N} /2이 되면 가변저항을 제거한 뒤R _{N}을 측정한다.노턴의
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.09
  • 빛의 간섭
    (E ^{`2} )과 전자기파의 세기수식입니다.(I`)는 비례,수식입니다.I```` PROPTO `E`` ^{2} ``ㆍㆍㆍiv)그림. ... 위해 위상자들의 벡터합을 구할 필요가 있음수식입니다.E``=`2E _{0} `cos` beta ````ㆍㆍㆍi)ㆍ두 내각의 합수식입니다.( beta `+` beta )`````→`` ... {n _{1}} ``ㆍㆍㆍi)- 매질 2에서의 파장,수식입니다.lambda _{n2} `=` {lambda } over {n _{2}} ``→수식입니다.ii)식에수식입니다.i)식 대입
    리포트 | 10페이지 | 1,000원 | 등록일 2024.01.16
  • 광학실험 굴절&수차실험 예비보고서
    == ( {E _{0t}} over {E _{0i}} ) _{dline } =( {2n _{i} cos theta _{i}} over {n _{i} cos theta _{t} +n ... }} over {E _{ 0i} })_BOT = ({n_i cos theta_i - n_t cos theta_t} over{n_i cos theta_i + n_t cos theta_t ... }) = -{sin(theta_ i -theta_t ) }over {sin(theta_ i +theta_t )t_{BOT } == ( {E _{0t}} over {E _{0i}} )
    리포트 | 10페이지 | 2,000원 | 등록일 2022.05.23
  • 건국대학교 전기전자기초설계및소프트웨어실습 2주차 레포트 A+
    위 코드에서는 ‘isspace()’ 함수를 사용해 문자열 내부의 공백 문자를 검사하고, ‘text[i]’가 ‘E’ 또는 ‘e’일 때만 ‘num_words’를 증가시킨다. ... {i++;}}printf("문장의 문장 수는 %d개 입니다.\n", sentence_count);int word_count = 0;int j = 0;while (str[j] ! ... (tolower(str[m]) == 'a' || tolower(str[m]) == 'e' || tolower(str[m]) == 'i' || tolower(str[m]) == 'o'
    리포트 | 23페이지 | 7,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 울산대학교 전기전자실험 7. BJT의 고정 바이어스 및 전압 분배기 바이어스 회로
    _{CE}(V)I _{E} (mA)I _{C} (mA)I _{B} (uA)9.264.024.0023.59.54.003.99102N4401V _{B}(V)V _{E}(V)V _{C} ... Δβ%ΔI _{C}%ΔV _{CE}%ΔI _{B}9.4711.9711.522.76백분율 변환3).전압분배기 바이어스 회로2N3904V _{B}(V)V _{E}(V)V _{C}(V)이론값3.442.7412.0측정값3.3412.68312.291V ... 고정 바이어스 회로2N3904V _{B} (V)V _{C} (V)I _{C} (mA)이론측정이론측정이론측정0.70.667.998.184.014.01I _{B} (uA)beta23.916.32167.9245.712N4401V
    리포트 | 2페이지 | 1,500원 | 등록일 2024.03.23 | 수정일 2024.03.25
  • [국민대 TED] 인터랙션디자인랩 합격 연구계획서(22년 전기지원)
    목차자기소개서관심 연구분야연구계획면접질문G R A D U A T E S C H O O L O F T E C H N O D E S I G N , K O O K M I N U N I V ... E R S I T Y연 구 계 획 서지원전공: 스마트경험디자인학과 인터랙션디자인랩 이름: OOO▶자기소개“디자이너의 책임은 실질적인 삶에 가랑비처럼 조금씩 스며들어 사회를 변화시키는
    자기소개서 | 5페이지 | 20,000원 | 등록일 2022.02.03 | 수정일 2022.10.21
  • [A+ 실험보고서]전자회로 실험- BJT 트랜지스터, 양극 접합 트랜지스터
    }r_pi- { beta+1} over {beta }g_mv_piR_E=0따라서rm { v_out} over { v_{i{n}}}=~- {R_C } over {R_E+ { 1} over ... V-I 특성곡선▲ 2N3904 트랜지스터의 V-I 특성곡선 ▲ 2N2222A 트랜지스터의 V-I 특성곡선위 그래프의 가로축은rmV_CE이고 그래프의 세로축은rmI_C이다.1. ... 0여기서rmI_E=(1+beta)I_B라는 점을 사용하면 베이스 전류의 이론값rmI_B={ V_th-V_BE} over {R_th+R_E(1+beta) }를 유도할 수 있다.rmV_BE로
    리포트 | 10페이지 | 2,000원 | 등록일 2024.06.28
  • 표본분산을 구할 때 편차의 제곱의 합으로 나누는 이유
    RIGHT )} ^ {{2}} } } OVER {{n-1}} } RIGHT )으로 가정하고 전개하면이때,{E}LEFT ( { {{X}} _ {{i}} ^ {{2}} } RIGHT ... {=E}LEFT ( { {sum _{} ^{} { {LEFT ( { {{X}} _ {{i}} {-}bar {{X}} } RIGHT )} ^ {{2}} } } OVER {{n-1}} ... } RIGHT ){=} {{1}} OVER {{n-1}} {E}LEFT ( {sum _{} ^{} { {LEFT ( { {{X}} _ {{i}} {-}bar {{X}} } RIGHT
    리포트 | 2페이지 | 3,500원 | 등록일 2023.04.09
  • 에센셜오일(버가모,스윗오렌지,만다린,네놀리,유칼립투스,티트리,캐로마일 로만, 캐로마일 저먼, 사이프러스)
    버가모 (Bergamot) 사 진 출처 : ht t p: // e n.w i kip e d i a . or g/ w i k i / C i t ru s _% C 3 % 9 7 _ a ... n t - w i s d o m . i nfo / a r o m a t h e r a p y / e s sen t i a l _ o i l s / ch a m o m i l e _ ... r o m a n.h t m l 학 명 : Ch a m a em e lum nob i l e ; Ant h emis nob i l i s 과 명 : 국화과 ( Ast e G erma
    리포트 | 42페이지 | 2,500원 | 등록일 2023.02.18 | 수정일 2023.05.17
  • [방송통신대학교] 알고리즘 출석수업대체과제물
    특징 : 테이블 E와 동일한 크기의 테이블P(i, j)에 E(i, j)를 결정한 연산(삭제, 삽입, 변경)을 저장해두면 최소비용(편집 거리)에 해당하는 실제 편집 연산을 구할 수 있다 ... 이 때 i=1이면 최솟값, i=n/2이면 중간 값, i=n이면 최댓값을 찾는 문제가 된다.? ... 성능 : T(n)=2T(n/2)+Θ(n), T(1)=Θ(1) → O(n log n)④ 선택문제 : 임의의 순서로 주어진 n개의 원소에 i번째로 작은 원소를 찾는 문제이다.
    방송통신대 | 3페이지 | 4,000원 | 등록일 2022.03.01
  • 죽의효능,죽제품소개,죽유럽시장분석,죽경쟁사분석,폴란드유통전략
    A N S I O N 29O V E R S E A S 폴란드 유통전략 E X P A N S I O N 왜 폴란드인가 ? ... 유통전략 E X P A N S I O N 27O V E R S E A S 폴란드 유통전략 E X P A N S I O N 28폴란드 유통전략 O V E R S E A S E X P ... 전략O V E R S E A S 폴란드 유통전략 E X P A N S I O N 25O V E R S E A S 폴란드 유통전략 E X P A N S I O N 26 Eurostat
    리포트 | 34페이지 | 4,000원 | 등록일 2022.03.15
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대