• 통큰쿠폰이벤트-통합
  • 통합검색(1,732)
  • 리포트(1,496)
  • 자기소개서(159)
  • 시험자료(44)
  • 방송통신대(22)
  • 논문(7)
  • ppt테마(3)
  • 이력서(1)

"디지털회로응용설계" 검색결과 781-800 / 1,732건

  • 미래를 여는 생명공학
    정신활동의 해명 … 당면 목표 노화현상의 억제 , 인공장기 등 의료기술에 관한 연구 , 생체물질기능의 시뮬레이션과 응용 , 사고과정의 해명과 그 정보처리 및 의료 면에서의 응용 ,… ... 가지 항원이나 항체들이 배열 되는 단백질칩 - 생화학적인 과정을 칩 위에 소형화시킨 랩 온 어 칩 - 생체물질이 배열돼 있는 생체센서 칩 - 신경세포의 정보처리 방식을 적용한 신경회로망 ... 있는 소자 - 용도 : 의료 , 제약 , 환경 , 식품 , 군사 , 연구용Biosensor - 허리 고무밴드에 전자 바이오센서를 탑재하여 맥박과 혈압 등을 24 시간 모니터링하도록 설계
    리포트 | 15페이지 | 3,000원 | 등록일 2012.12.14
  • 시퀀스회로에 대해서
    회로를 조합한 회로로 현재 디지털 IC 회로의 대부분은 이 NAND IC 소자로 구성 합니다 .시퀀스 제어계의 기본회로 (3) 기타 회로 ① XOR 회로 : 두 입력이 서로 상반될 ... 회로 , 반전회로보다 스위칭속도가 느리나 , 설계가 용이합니다 . ① AND 회로 : 모든 입력이 HI(1) 일 때만 출력이 HI(1) 인 게이트 ② OR 회로 : 입력 중 어느 ... 접점은 즉시 개방 , 계전기가 비여자되면 점점이 접속될 때 잠시 지연 .시퀀스 제어계의 기본회로 (4) 타이머 회로 ② 유접접 한시 타이머회로응용시퀀스 제어계의 기본회로 (4)
    리포트 | 21페이지 | 1,500원 | 등록일 2012.03.15
  • [디지털실험][설계과목]디지털 시계 만들기
    설계목적/ 18(1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계(2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습.2. ... 디지털 시계 회로도은 우리가 설계 및 작성을 해야하는 디지털 시계의 전체적인 회로도이다. ... 이 론주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • 디지털 논리 회로 텀 프로젝트 디지털 공중전화
    디지털 논리회로 설계 및 실습 과목의 term project 구현? 칩의 기능과 논리구조의 이해? 지금까지 학습한 내용들을 복합하여 하나의 작품에 응용 및 구현2. ... 공중전화학습한 논리구조의 기능 구현을 바탕으로 공중전화 기능에 필수적인 요소들을 회 로로 설계하여 논리 게이트 들만으로 디지털 공중전화 기능 구현2.3 주요 기능● Part 1. ... 결론 및 느낀점처음 디지털 논리회로 실습을 배울 때 아무것도 모른체 새로운 학문에 도전한다는 것이 힘들고 어렵기만 했습니다.VCC와 GND 가 어떤 것인지도 판단하지 못하는 저희가
    리포트 | 16페이지 | 4,000원 | 등록일 2011.01.05
  • [기계공학응용실험] 10장 PLC 응용 실험
    디지털회로 이론에서는 그래프 분석 기법인 카르노 맵과 같은 방법을 사용하여 논리식을 단순화한다. ... 래더 다이아그램을 그려라[ 그림 ] 폐수 저장 수조>>X01X02M01M01Y01M01위의 래더 다이어그램은 예제에서 배웠던 자기유지회로응용하여 만든 것입니다. ... 등이 있고, 이러한 요소기술을 통합화한 소규모의 단위 자동화를 위해서는 PLC 인터페이스 기술이 필요하다.본 실험에서는 PLC의 명령구조, 사용법, 이용범위 등을 이해하고, 이를 응용하여
    리포트 | 10페이지 | 2,000원 | 등록일 2010.12.11
  • 알루미늄인장실험
    많은 응용 프로그램의 경우 다. ... 대형 트윈 컬럼 설계는 향상된 설계를 제공하며 편리한 작동 높이로 조정할 수 있는 베이스 스탠드를 제공한다. ... ④ Strain Gauge 를 Strain 측정기에 접속하면 휘스톤 브릿지 회로가 구성되어, 브릿지 회로의 입력전압 (브릿지 전압) 이 Strain 측정기에서 공급되기 때문에, Strain
    리포트 | 9페이지 | 2,000원 | 등록일 2013.06.17
  • 삼성전자 기업분석.
    < 무선 사업부 소개 >▶ 관련분야『H/W 개발』CDMA, GPRS, UMTS, GSM, Mits 단말기 회로설계 및 RF/Logic, 안테나 관련 설계『S/W 개발』Application ... S/W, 보안 기술), Wireless LAN Security,IPv6, Mobile IP,RTOS/Device Driver, MPEG-2, MPEG-4, DSP 응용설계, Bluetooth ... 과감한 R&D 투자와 적극적인 글로벌 마케팅 지속적인 혁신 활동을 통해 세계적인 제품 리더십과 마켓 리더십을 확보하고 디지털 TV, 인터넷 가전, 차세대 이동통신 단말기 등 첨단 디지털
    리포트 | 6페이지 | 2,000원 | 등록일 2012.01.23
  • AM 진폭변조기 설계-아날로그 통신
    설계계획서작성 2. 사전조사 3. 설계회로선정 4. 설계계획서수정 5. ... 거의 모든 실용적 응용면에서 fc f m 이므로 스펙트럼의 상호간섭은 없음 . ... AM 변조기 설계목차 업무 분담 1 일정 계획 2 사전조사 3 AM 변조기 회로도 4 Pspice 회로도 및 결과 파형 5 하드웨어 제작 및 결과 파형 6 하드웨어 결과와 Pspice
    리포트 | 18페이지 | 1,000원 | 등록일 2010.06.05
  • [컴퓨터의 이해]방송대 1학년 공통형 레포트. 마이크로프로세서와 QR코드. 만점받음
    데이터가 처리되면 그 때마다 그 데이터는 주기억 장치에 먼저 저장되며, 제어 장치 안에 있는 전자회로가 프로그램 속의 명령어를 번역하고 연산장치가 그것을 처리한다.2. ... 또한 캐시 메모리를 탑재하여 프로그램 속도를 증가시켰다. 80586 은 성능을 개선하기 위해서 버스라인을 64비트로 확장 설계하고 팬티엄 프로세서에 인텔의 MMX 기법을 도입했다. ... 또한 가격이 낮아짐으로써 컴퓨터가 대중화될 수 있었다.2) 컴퓨터의 성능의 증가최초의 디지털 컴퓨터인 에니악의 CPU 보다 인텔4004가 훨씬 크기가 작지만 속도는 10배나 빠르다.
    방송통신대 | 6페이지 | 6,500원 | 등록일 2013.07.06 | 수정일 2015.03.17
  • 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료
    주 제 조합논리회로 와 순차논리회로응용하여 설계 해본 카운터 를 활용하기 위해 프로젝트 주제로 엘리베이터 를 선정 . ... ) 디지털 시스템 : 원리 및 응용 (PEARSON Prentice Hall, 인터비젼 ) 디지털 가상 실험실 : http://princess.kongju.ac.kr/ 엘이디 스튜디오 ... 기말 프로젝트 발표 2009 년 2 학기 - ㅇㅇㅇ ㅇ 조 - - 1 - 논리회로 2목 차 1 일정 - 2 - 2 주제 3 기능 4 설계 5 회로 6 동작화면 7 평가 8 업무분담-
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.12
  • 기업컴퓨팅의 발전과정
    대규모집적회로 기술의 진보로 비약적으로 발전하여 여러 산업 분야에 컴퓨터가 응용되는 결정적인 계기를 이루었다. ... 기본 설계에 이용되고 있다. ... 이 시기에 급 부상한 기업으로는 Digital Equipment, HP 등이 있다.1980년대 - 퍼스널 컴퓨팅1981년 IBM이 16비트 CPU 인텔8088을 이용한 IBM PC를
    리포트 | 5페이지 | 2,500원 | 등록일 2011.11.18
  • 정보컴퓨터 임용에 관한 시험내용과 출제경향 분석
    부속품김종상25컴퓨터구조컴퓨터시스템구조디지털 부속품김종상26컴퓨터구조컴퓨터시스템구조디지털논리회로김종상27데이터베이스데이터베이스 배움터데이터베이스시스템홍의경28데이터베이스데이터베이스 ... 논리회로김종상10-2컴퓨터구조컴퓨터시스템구조디지털 논리회로김종상11-1컴퓨터구조컴퓨터시스템구조제어장치김종상11-2컴퓨터구조컴퓨터시스템구조제어장치김종상11-3컴퓨터구조컴퓨터시스템구조제어장치김종상12컴퓨터통신네트워크개론클라이언트 ... ,적용,활용그래프와 해싱그래프의 개념 및 기본 용어 이해, 그래프 연산 및 순회, 그래프의 응용, 해싱의 개념 및 기본 용어, 정적 및 동적 해싱의 개념 및 연산, 해싱의 응용이해,
    리포트 | 21페이지 | 3,600원 | 등록일 2010.12.05
  • 수 체계 실험 결과 보고서 (7 segment)
    디지털 논리회로설계 및 실습실험 3. ... 보통 사용되는 디스플레이로서 7-세그먼트가 있는데, 이는 시계와 같은 많은 디지털 응용에서 사용된다. ... □모의실험용으로 결함을 만들어 놓은 회로의 고장 진단.2.이론 요약수 체계에서 기호의 개수를 기수라고 부른다. 10진수 체계에서는 양을 표현하기 위해 0부터 10개의 숫자 기호를 사용한다
    리포트 | 4페이지 | 1,500원 | 등록일 2011.01.05
  • sk하이닉스 합격 자기소개서-인턴-SOC
    기본적인 state muchine에 대해 학습하였고 이를 응용하여 신호등 시스템, 볼링 점수 계산 시스템등 보드를 사용하여 구현했습니다.디지털신호처리개론시간 영역과 주파수 영역에서의 ... 기본적인 신호 표현기법을 시작으로, 샘플링, 선형 시불변시스템에서의 기본 필터 설계 방법, FIR 필터 설계 및 분석 및 Z-변환에 대해 배웟습니다.강의개요디지털신호표현 및 주파수 ... 멀티미디어 신호처리의 기본되는 수학적 표현방법을 배울 수 있었습니다.디지털신호표현 및 주파수 분석을 통해 필터링 기술을 이해할 수 있었으며 MATLAB을 이용한 실습으로 필터 설계기술에
    자기소개서 | 6페이지 | 3,000원 | 등록일 2012.10.18
  • da 및 ad 변환기 예비보고서
    A/D 컨버터는 변환시간에 따라 가격이 크게 달라지므로 응용목적에 따라 적절한 변환시간을 갖는 모델을 선정-예를 들어 디지털로 음성이나 영상 신호처리를 하는 시스템에서는 고속형이 사용 ... 디지털 회로를 연결시켜 주기 위한 아날로그-디지털 컨버터(ADC) 회로에서 안정적인 동작이 이루어질 수 있도록 샘플링 커패시터의 입력 커패시턴스를 증대시킨 아날로그-디지털 컨버터의 ... 설명하고, A/D 변환기에 사용될 수 있는 S/H를 설계하시오.ADC가 아날로그 신호를 디지털 데이터로 변환하는 동안에 입력 전압이 변동하면 출력에 불확실성이 발생한다.
    리포트 | 9페이지 | 1,500원 | 등록일 2010.11.21
  • [Lab#2]논리게이트 회로 실습
    / 디지털공학 및 설계_2011_기계공학과Digital Engineering and LabDept. of Mechanical Engineering('11.3.31 목)(오후)반, 성명 ... 두 개의 입력이 AND게이트로 들어오면 게이트 논리에 맞춰 출력 값이 발생한다.2) 이를 응용하면 회로 내부의 프로그램에 미리 신호를 입력해 놓고 토글스위치를 이용해 필요할 때에만 ... 이것은 클럭이 AND 게이트 출력에 전달되지 못했음을 의미한다.1) Input A 토글의 입력시간 : 1초2) Input A 토글의 입력시간 : 5초3) 토글 스위치의 정의 및 응용
    리포트 | 16페이지 | 1,000원 | 등록일 2011.06.01
  • LED 조명 시스템기술
    IC의개발에 의한 LED 구동회로의 컴팩트화 및 LED의 특성에 적합한 구동방식과 인버터 기술개발에 의한 LED 제어시스템의 고효율화가 요구된다.라) 인공지능형 디지털 조명 제어기술인간친화 ... 및 구조설계, 구동회로(SMPS) 회로설계 기술이 필요함과 동시에 LED의 자체발열과 고온동작및 동작시간에 따른 광출력 및 색상(색온도, 색좌표값 등)의 변화제어가요구된다.나) 적절한렌즈 ... 및 구조설계, 구동회로(SMPS) 회로설계기술 및 LED 조명시스템의 중량 증가는 자동차 주행 효율의 저하를 가져오기 때문에 LED 조명 시스템에서 가장 많은 중량을 차지하는 방열재료의경량화가
    리포트 | 6페이지 | 1,000원 | 등록일 2010.08.01
  • 실험 설계 계획
    설계 배경? 기초회로실험 시간에 배운 내용을 최대한 활용하여, 여러 용도로 사용 될 수 있는 전자새 회로를 구성해 보고 이를 이용하여, 여러 가지 방법으로 응용해본다.2. ... 아날로그, 디지털 회로에서 트랜지스터는 증폭기, 스위치, 논리회로, RAM 등을 구성하는 데 이용된다.< 2SC1815 트랜지스터 >- 트랜지스터의 동작 원리는 트랜지스터는 3개의 ... 설계 추진 체계 및 일정? 역할 분담: 회로 설계, Pspice 설계 및 시뮬레이션, 회로 구성: 회로 설계, 자료 조사, PPT 작성, 문서 작성, 회로 구성?
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.23
  • 졸업작품- 음악분수
    )BJT주파수응답특성응용 Filter (2~5번회로)저주파영역고주파 영역BJT주파수응답 특성응용 Filter (2~5번회로)..PAGE:11RC필터(1번 회로)1번 회로 FilterC1 ... 이론적 회로설계2. 시뮬레이션 실행검증3. 아날로그회로 제작4. 오실로스코프로 검증5. ... 팀펌프제어 팀..PAGE:62장 : 합성 기법이론적 회로설계1.
    리포트 | 26페이지 | 5,000원 | 등록일 2010.07.21 | 수정일 2020.12.26
  • Lab(6) 카운터, 비교기, 포토 인터럽터 회로
    정리:74LS85비교기 IC를 이용하여 룰렛 오락기를 만들 수도 있고, 빛 광량기 센서를 달아 일정 광량 이상일 때에 자동으로 블라인드가 닫히는 디지털 블라인드를 설계할 수도 있다 ... )Photo-interrupter 회로, 7485 4bit 크기 비교기의 동작과 응용회로를 이해한다.d)이론으로 배운 여러 회로를 실습으로 확인하고 회로 구성능력을 향상시킨다.[2] ... FF을 이용한 16진/10진 리플 카운터 회로구성과 PS, CLR 기능을 이해한다.b)74192 십진, 74193 2진 Up/Down 카운터 동작과 회로를 이해하고 응용력을 키운다.c
    리포트 | 15페이지 | 1,500원 | 등록일 2010.06.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 20일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대