• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,941)
  • 리포트(9,384)
  • 시험자료(645)
  • 자기소개서(325)
  • 서식(296)
  • 방송통신대(234)
  • 논문(39)
  • 이력서(7)
  • 노하우(6)
  • ppt테마(4)
  • 기업보고서(1)

"가산기" 검색결과 81-100 / 10,941건

  • 가산증폭기
    제목: 가산증폭기1. ... 이 론(1) 가산증폭기- 가산증폭기는 OP-AMP의 반전 입련단에 여러 개의 입력저항이 동시에 연결된회로로 가산증폭기의 출력전압은 각 입력전압의 합이다.즉 위 회로의 경우에는 R1에 ... 임피던스는 0이다.(2) 가산증폭기의 응용- 가산증폭회로는 연산 증폭기의 가상쇼트를 잘 이용한 회로로서 위 회로를응용 시킬 경우 연산 증폭기를 사용한 전기적 가감산을 할 수 있다.가산회로에서
    리포트 | 6페이지 | 1,000원 | 등록일 2014.12.18
  • 회로실험I 예비보고서 - 반가산기와 전가산기
    가산기와 전가산기목적? 반가산기와 전가산기의 원리를 이해한다.? ... OR 및 NOT 게이트만을 사용하여 전가산기를 설계하라.(2) 전가산기의 출력이 S = A? ... 1/4가산기라고도 불림- 두 개의 2진수 A와 B를 더하면, 그 합 S와 자리올림수 C가 발생하는데,이때 두 출력을 동시에 나타내는 회로를 반가산기라 함전가산기(Full Adder)
    리포트 | 3페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 회로실험I 결과보고서 - 반가산기와 전가산기
    가산기와 전가산기실험 준비물(1) 전원공급기(GW GPC-3020A) 1대(2) 오실로스코프(3) 브레드보드? SN7400(Quad 2-input NAND Gate)? ... 회로를 구성하고 진리표를 작성하라.XYBD0000011110101100(4) 다음은 전감산기 회로이다. ... ********101(2) 을 확장하여 다음 회로를 구성하고 진리표를 작성하라.ABCSC0000000110010100110110010101011100111111(3) 다음은 반감산기
    리포트 | 6페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 연산증폭기를 이용한 가산기와 감산기
    실험 제목 : 연산증폭기를 이용한 가산기와 감산기1. 실험 목적연산증폭기를 이용한 가산기와 감산기의 동작을 설명하는 데에 있다. ... 결과2.1 가산기 기본회로 기본회로1. ... 연산증폭기는 여러 신호들의 가산이나 감산에 사용될 수 있으며 아날로그 컴퓨터에 가장 많이 사용되는 연산증폭기 응용회로가 가감산 회로이다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2017.12.19 | 수정일 2018.02.07
  • 가산기 결과레포트
    [표4 NAND게이트로 설계한 반가산기 회로도와 반가산기 진리표]A = 0 , B = 0A = 0 , B = 1A = 1 , B = 0A = 1 , B = 1[표5 반가산기 회로 구성 ... 가산기5.1 NAND게이트로 AND,OR,NOT게이트를 구성하여라. ... [표4 NAND게이트로 설계한 반가산기 회로도와 반가산기 진리표]A = 0 , B = 0 Cin = 0A = 0 , B = 0 Cin = 1A = 0 , B = 1 Cin = 0A
    리포트 | 6페이지 | 1,500원 | 등록일 2016.04.05 | 수정일 2021.08.28
  • Combinational Logic 실험 #1 (반가산기 , 전가산기)
    Combinational Logic 실험 #11. Abstract이번 실험은 combinational logic의 몇 가지 회로인 Half Adder와 Full Adder, Multiplexer를 Breadboard에 구현하여 동작을 확인한다. 또한 Breadboard..
    리포트 | 4페이지 | 1,000원 | 등록일 2018.06.11
  • 가산기와 전가산기 - 결과
    기초회로실험- 결과보고서 -- 8조 -정보통신공학부반가산기와 전가산기- 실험의 목적 -(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다 ... NAND 게이트만 이용한 반가산기이고, 진리표는 동일하게 나타난다.* 실험 결과를 이용해 가산기 및 감산기의 출력을 부울대수 식으로 유도하라.1. ... .- 실험의 개요 -2진 연산에 따라서 계산 값과 자리올림을 나타낼 수 있는 반가산기와 전가산기를 회로에 적용하였을 때의 출력이 어떻게 나타나는지 확인하고, 이와 더불어 반감산기와
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 가산기와전가산기 - 예비
    기초회로실험- 예비보고서 -- 8조 -정보통신공학부실험목적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다.이론(1) 2진 연산(Binary ... digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기(Half Adder)- 반가산기는 2개의 2진수 A, B 논리 변수를 더하여 합과 캐리를 산출하기 ... 위한 조합 논리회로이며 반가산기의 논리식과 진리표는 다음과 같다.S = A B + A B = A BABS(sum)C(carry)*************101C = A B(3) 전가산기
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 설계과제1 BCD 가산기
    논리회로설계 실험 설계과제 보고서 #1BCD 가산기1. ... 또한 BCD 가산기의 작동 원리에 대하여 알아본다. ... 이들을 패키지 내 선언을 통하여 메인 소스코드에 적용하여 BCD 가산기를 설계하였다.
    리포트 | 11페이지 | 5,000원 | 등록일 2018.01.10
  • 디시설 - 전가산기, 전감산기 설계
    결과 보고서( 전가산기, 전감산기 설계 )제목전가산기, 전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는 ... 또한 이 실습을 통해서는 if~then~elsif~end if(VHDL) 형식과 다양한 방법으로 전가산기를 설계하는 법을 배울 수 있다.실습 내용실습 결과전가산기VHDL코드분석* 전가산기란 ... 전가산기, 전감산기 설계 과정을 통해 조합논리회로를 VHDL로 설계하는 방법에 대해 공부한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 전기전자실험 - 가산증폭기
    제목 : 가산증폭기(Summing Amplifier)1. ... 이론(1) 가산증폭기(Summing Amplifier)위의 그림과 같이 여러개의 입력저항을 동시에 OP-AMP의 반전입력(-)단자에 연결하면 가산기가 된다. ... 결론가산증폭기 회로의 반전가산회로를 설계해서 실제로 작동하는지에 대해 실험을 진행해 보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.20 | 수정일 2018.11.26
  • 논리회로설계실험 반가산기가산기설계 예비보고서
    조합 회로 설계-반가산기실험 목표반가산기의 작동을 이해하고 진리표를 작성하여 논리식을 구하여 본다. ... _method=view&MAS_IDX=150825001511795>“02_조합회로+설계+-+반가산기+_+전가산기”, PDF, Retrieved march 19, 2017, from고찰반가산기는 ... 이러한 반가산기의 한계는 이전 단계에서의 자리 올림 수를 받아들이지 못하기 때문에 여러 비트의 이진수 덧셈을 위해 반가산기를 단순히 연결하여 사용할 수 없다는 것이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 논리회로설계실험 반가산기가산기설계 결과보고서
    조합 회로 설계-전가산기실험목표전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 최종적으로 테스트 벤치 코드를 작성하여 시뮬레이션을 통해 전가산기 코드가 정상적으로 작동하는지 확인해 본다.실험 결과 전가산기 회로를 동작적 모델링, 자료 흐름 모델링, 그리고 구조적 ... 하위 개체의 동작 기술 방식은 모두 자료 흐름 모델링 방식을 사용하였다.설계할 전가산기는 반가산기 2개와 OR 게이트로 이루어져 있으므로 각각의 이름을 HA1, HA2, ORG1로
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 가산증폭기
    이론[그림 ] 가산증폭회로◎ 가산 증폭기 (Summing Amplifier)[그림 1]와 같이 여러 개의 입력저항을 동시에 OP-Amp의 반전입력 (-) 단자에 연결하면 가산기가 된다 ... 이용한 가산 증폭기이다. ... 비반전 증폭기 회로를 중첩시킴으로써 덧셈이나 뺄셈과 동시에 그 결과를 증폭시키는 가산 또는 감산 증폭기를 만들 수 있다.반전 증폭기를 이용한 가산 증폭기.위의 그림은 반전 증폭기를
    리포트 | 11페이지 | 1,500원 | 등록일 2012.12.12 | 수정일 2020.11.25
  • 가산기와디코더
    실험제목 : 가산기와 디코더 회로2. 실험목적 :-가산기의 구성방법과 동작원리를 이해하고 병렬가산기를 이용한 가산의 실험을 통하여 가산기에 대한 응용력을 기른다. ... 가산기는 컴퓨터뿐만 아니라 수치데이터를 처리하는 여러 디지털 시스템에서 중요한 역할을 하므로 가산기의 동작원리에 대해 이해하는 것이 디지털시스템의 응용에서는 필요하다.1) 반가산기2진 ... 반가산기는 AND와 Exclusive-OR게이트로 구성되어 2의보수 체계에 가산을 할수있게 도움되는 디지털 회로였다.실험(3)에서 7세그먼트 표시기는 막대모양의 LED 7개를 8자
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.04
  • 가산기&반가산기
    PSpice 기초와 활용(전가산기&반가산기)1.전가산기(FA)1)회로도2)파형도3)진리표입력출력ABZCS0*************101110100011011011010111114)블록도5 ... )블록도 화살표2.반가산기(HA)1)회로도2)파형도3)진리표입력출력ABCS00000101100111104)블록도5)블록도 화살표
    리포트 | 4페이지 | 1,000원 | 등록일 2013.08.07
  • 가산기
    4.가산기?목적1. 반가산기와 전가산기의 원리를 이해한다.2. 가산기를 통하여 논리회로의 구성능력을 키운다.3. 가산기를 이용한 가산 연산장치를 이해할 수 있도록 한다.? ... 순서논리회로의 종류에는 플립플로, 레지스터, 카운터, RAM, CPU등이 있다.①조합논리회로의 종류반가산기, 전가산기, 병렬가산기, 반감산기, 전감산기, 디코더, 인코더, 멀티플렉서 ... 전가산기(Full Adder)A, B 두 입력 외에 앞단으로부터 1개의 자리올림수도 동시에 가산을 행할 수 있는 회로를 전가산기회로라 한다.
    리포트 | 2페이지 | 무료 | 등록일 2010.09.23
  • 가산기, 감산기
    가산기, 감산기1. ... 이론요약1비트 2진 가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어 생각할 수 있다.인간의 계산 능력에 따라 여러 비트의 두수를 더할 때, 가장 낮은 ... number)인지는 사용자가 결정해야 할 사항인데, 무부호 수일 경우는 가산기와 감산기를 별도로 설계해야 하지만, 2의 보수일 경우는 가산기 하나로 가감산 수행할 수 있다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • <A+> 가산기 감산기 실험보고서 (예비, 결과)
    가산기, 전가산기, 반감산기, 전감산기. ... 반가산기의 진리표그림 1. 반가산기 회로 수식 1. ... 전가산기의 진리표그림 2. 전가산기 회로수식 2.
    리포트 | 15페이지 | 1,500원 | 등록일 2018.11.10
  • 가산기, 감산기 실험 결과보고서
    7장 가산기, 감산기(결과 보고서)1.실험목적- 가산? ... 우리가 실험에서 구성한 회로는비교기 1개와 가산기 1개를 사용하였다. (B3B2B1B0)에 9(1001)를 입력하고 비교기에A>9 , A ... 감산 연산을 구현해본다.- 4비트 2진수 Excess-3 코드로 변환하는 변환기를 설계, 구현, 실험한다.- 오버플로우(overflow) 검출로 부호화 수의 가산기 설계를 완성한다.2
    리포트 | 3페이지 | 1,000원 | 등록일 2016.06.30
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대