• 통큰쿠폰이벤트-통합
  • 통합검색(1,679)
  • 리포트(1,537)
  • 자기소개서(123)
  • 시험자료(6)
  • 논문(5)
  • 서식(4)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"논리회로설계및실험" 검색결과 81-100 / 1,679건

  • 부산대학교 어드벤쳐디자인 7장 결과보고서
    또한 논리회로를 간략화하는 방법을 이해하고, AND, OR, NOT, XOR, NAND, NOR, NXOR 게이트를 사용하여 간략화된 다단 논리회로설계 방법을 익힌다.2. ... 기본적인 논리 게이트 소자로는 아래 그림과 같이 AND, OR 및 NOT(inverter) 게이트가 있다. ... 실험 목적기본적인 논리 게이트인 AND, OR, NOT, NAND, NOR 등의 기능에 대해 알아본다.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.13
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 결과레포트 [참고용]
    실험 명부울대수와 논리조합2. 실험 개요부울대수의 기본 공리와 정리를 이해하고 논리회로로 표현하여 간단화하는 방법을 익힌다. ... 또한 논리조합의 기초를 익히고 대체기호 및 그 의미를 숙지하여 게이트간의 치환을 가능캐한다. 최종적으로 기본 게이트들 간의 상관관계를 이해, 숙지한다.6. ... 이를 위해 실험 2, 3항을 통해 부울대수조작으로 게이트를 간단화하고 치환했을 때, 기존 회로의 기능을 그대로 수행하는 지를 비교분석 및 확인했고, 실험 4~7항을 통해 게이트를 간단화하게
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.01
  • 아날로그및디지털회로설계실습 래치와플립플롭
    아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 ... 참고문헌- 아날로그 및 디지털회로 설계실습 교재 ... 서론순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. 실험결과1-3.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • 시립대_전전설2_Velilog_예비리포트_1주치
    실험 목적- 각각의 TTL을 이용하여 논리 회로설계실험 해본다.2. ... 결과와 예상 결과하고 실제 실험 결과를 비교 및 분석한다.3) 반가산기 회로 실험(1) 위의 이론부분에서 실습회로와 교안의 사진을 참고하여 브레드보드에 회로를 구성한다.(2) TTL ... TTL gates Lab on BreadboardMajor전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험 반가산기 전가산기
    논리회로설계 실험 예비보고서 #2실험 2. 반가산기 & 전가산기1. ... 예비실험에서는 반가산기만 코드작성 및 논리회로도를 그렸는데 저번실험과 달리 동작적, 자료흐름 모델링만을 해보지 않고 이론으로 배운 구조적 모델링 방법과 Schematic 방법을 사용하여 ... 2206285036165) 논리회로설계실험 국태용교수님 아이캠퍼스 강의자료http://www.icampus.ac.kr/5.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 회로 실험설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험설계 예비 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... PSpice 시뮬레이션 회로도 및 결과- 부울대수와 카르노맵 실험ABCXY0*************00110010000101111101111111- RS Flip-Flop 실험 (NOR게이트 ... 묶는 방법에 따른 차이를 실험으로 확인한다.⑤ RS 플립플롭의 회로 구성과 동작을 실험한다.2.
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    관련이론1) FPGAFPGA(Field-Programmable Gate Array)는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... 실험 장비 및 부품- Digilent Nexys4 FPGA Board- Vivado Design Suite 2014.44. ... Verilog 언어를 이용한 Sequential Logic 설계예비레포트1. 실험 제목1) Verilog 언어를 이용한 Sequential Logic 설계2.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.11.06
  • 충북대 기초회로실험 4-비트 산술논리회로 예비
    이용하여 4비트 ALU를 설계하고 시뮬레이션을 한다.이론ALU는 산술 연산회로논리 연산회로로 나누어진다. ... 2에서 설계된 심볼을 이용하여 의 8x4 Multiplexer를 그리고 시뮬레이션을 한 다음 심볼화 하라.(5) 실험 1과 실험 3에서 설계된 심볼을 이용하여 의 4비트 산술 연산회로를 ... 시뮬레이션 결과를 인쇄하라.(6) 실험 3의 심볼을 이용하여 의 1비트 논리 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라.(7) 실험 6의 심볼을 이용하여 의 4비트 논리 연산회로
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 실습 10. 7-segment_Decoder 회로 설계
    아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜 ... 먼저 Decoder에 4비트 입력이 주어졌을 때 적절한 조합논리회로를 거쳐 출력값들을 제공한다. ... 결론이번 실험의 목적은 7-segment의 type을 구분하고, Decoder를 이용한 구동회로설계함으로써 그 동작을 이해하는 것이 목적이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 논리회로실험 순차회로 설계
    논리회로설계 실험 예비보고서 #6실험 6. 순차회로 설계1. 실험 목표순차회로의 기본 회로인 Latch와 Flip ? ... 고찰이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다. ... 진리표CLKJKQ(t+1)100Q(t) (불변)10101101111{bar{Q(t)}} (toggle)2) 상태도와 논리기호 및 Nor게이트를 이용한 JK FF 회로도3) 소스코드JK
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    실험 명M2. 아날로그 및 디지털 기초 회로 응용2. ... KCL, KVL 현상 확인 (회로 내 모든 저항은 330Ω으로 통일)구성한 회로 만능기판 구성 회로V1 노드 전압 V2 노드 전압V3 노드 전압 저항 측정방법실험설계 내용: 만능기판에 ... 그 이후 버튼과 논리회로를 직접 연결하지 않고, 아두이노를 통해 스위칭 입력을 받은 후 논리게이트에 스위칭 정보를 전달해준다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 순차검출기와 32x8 sram verilog 설계
    다양한 조건을 가진 순차회로설계함으로써 순차논리회로설계 과정을 깊이 이해한다.Verilog설계- 순차 검출기의 상태도- Verilog 코드 기술SD.vmodule SD(stepCLK ... 제목 - 실험 결과 보고서실습 목적앞에서 실습한 순차회로 설계는 상태가 천이하는 조건이 단순했는데, 입력이 많아지고 조건이 복잡해지면 상태 천이 조건도 복잡해진다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 7세그먼트FND디코더 verilog 설계
    제목7-세그먼트 FND 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. ... 실습에서는 스위치 입력으로 저장된 0x0~0xF사이의 한 자리 16진수를 한 자리 7-세그먼트에 출력하고, 8비트의 슬라이드 스위치로 입력된 두 자리 16진수를 출력하기 위해 디코더를 설계한다
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 우선순위 인코더 verilog 설계
    제목인코더 설계실습 목적및 배경인코더는 2^n개의 입력을 받아서 인코딩된n개의 출력을 발생시킨다. ... .우선순위 인코더를Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. ... 따라서 이번 실습에서는 두 개 이상의 입력이 ‘1’ 일 때 우선순위에 의해 하나의 입력에 대한 인코딩 결과를 출력하는 우선순위 인코더를 설계해본다.실습 내용실습결과진리표 작성과Schematic설계입력출력d7d6d5d4d3d2d1d0a2a1a0V00000000xxx00
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • BCD가산기 verilog 설계
    제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. ... 관련 이론-FPGAFPGA(field programmable gate array)란 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... Half Adder의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit Adder의 설계 방법을 익힌다.-4-bit Adder를 Verilog HDL을 이용하여 설계하고, FPGA를
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 크기비교기 verilog 설계
    제목 - 크기비교기 설계실습 목적크기 비교기 회로는 두 수 중에서 한 수가 크고, 같고, 작다는 것을 결정하는 회로이다. 이 회로는 조합논리회로이며 두 수를 비교한다. ... 이번 실습을 통해 Verilog의 구조적 설계 방법에 대해 배워 본다.Verilog, VHLD설계
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 클럭분주회로설계 verilog 설계
    클럭을 분주하는 방법은 다양하지만, 이번 실습에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건에 의해 상태가 천이되도록 클럭 분주회로설계함으로써 순차논리회로설계하는 절차를 ... 제목클럭 분주회로 설계실습 목적많은 디지털 회로에서 클럭을 분주하여 사용한다. ... 클럭 분주회로를 verilog로 설계한 코드ClockDivider.vtb_ClockDivider.vmodule ClockDivider(clk,rst);input clk, rst;reg
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 패리티체크 verilog 설계
    제목패리티 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습결과Verilog, VHLD설계1. ... 홀수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고, 오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 Verilog로 설계하라.ParityCheck.vtb_ParityCheck.vmodule
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 병렬-직렬 변환회로 verilog 설계
    제목병렬-직렬 변환회로 설계실습 목적레지스터는 데이터를 저장하기 위해 사용되는 기억장치다. ... 따라서 병렬 입력을 갖는 시프트 레지스터를 이용하면, 병렬로 입력된 데이터를 매 클럭마다 1비트씩 출력시키는 병렬-직렬 변환회로설계할 수 있다. ... 병렬-직렬 변환회로를verilog로 설계한 코드spConverter.vtb_spConverter.vmodule spConverter (clk,clear, si, qout);input
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대