• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,701)
  • 리포트(1,675)
  • 시험자료(12)
  • 방송통신대(6)
  • 자기소개서(5)
  • 서식(2)
  • 논문(1)

"신호발생기 예비보고서" 검색결과 81-100 / 1,701건

  • 1. 접촉식 초전형(Pyroelectric) 적외선 센서 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 1 예비보고서-접촉식 초전형(Pyroelectric) 적외선 센서학과 :담당 교수님 :제출일 :조 :학번 / 이름 :1-1. ... 설계실습 계획서1-3-1 초전형 적외선 센서(RE200B)와 증폭기 사이에 신호를 전달하는 High-Pass Filter(DC -block, 3-dB freq.=5 Hz)를 R과 C를 ... 1개가변저항 10kohm ,2개가변저항 1Mohm 2개사용장비오실로스코프 (Oscilloscope)1대브레드보드 (Bread board)1개파워서플라이 (Power supply)1대함수발생
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • [A+] 오실로스코프와 함수발생예비보고서
    ㅡ 디지털공학실험 ㅡ< 1장 오실로스코프, 함수발생기 >예비보고서< 목차 >1. 실험 목적2. 이론3. 실험 내용4. ... 함수발생기(Function Generator)함수 발생기(Function Generator)는 전자 시험 장비의 한 종류로, 전자 신호인 파형과 회로에서 필요로 하는 여러 신호원들을 ... 조절기, 감쇄기, DC OFFSET 조절기의 영향을 받지 않는다.< 예비 보고 >오실로스코프(Oscilloscope)오실로스코프로 다양한 크기의 전압을 측정한다.오실로스코프를 사용하여
    리포트 | 15페이지 | 3,000원 | 등록일 2023.08.15 | 수정일 2023.09.04
  • 아날로그 및 디지털회로설계실습- 실습1(초전형(Pyroelectric) 적외선 센서) 결과보고
    다른 이유를 보면 다음과 같다.예비보고서의 회로는 ‘sensor ? HPF ? amplifier - amplifier’ 순으로 연결되어 있다. ... , 사진과 같이 회로도를 설계하고 브레드보드에 소자와 선을 배치했다.회로도를 보면 알 수 있겠지만, 내가 예비보고서에서 설계한 회로도와 실제 설계한 회로도는 조금 달랐다. ... 만약 예비보고서에 기록한 대로 10k , 1Meg 로 실험을 진행했다면, 회로의 RC 시정수가 커져서 적외선 센서의 전압증폭과 LED의 점멸의 시간 오차가 더 크게 났을 것이라고 생각한다
    리포트 | 10페이지 | 1,000원 | 등록일 2020.09.24
  • 위상 고정 루프 회로(Phase Locked Loop, PLL) 예비보고서
    위상 고정 루프 회로(Phase Locked Loop, PLL)예비보고서1. ... 실험기자재 및 부품4.1 사용기기- 직류 전원- 함수발생기- 디지털 멀티미터 ... LC 발진기 등에서 주파수 선택성을 이용함구형파 발생기- 링발진기 등2.2 위상고정루프 (PLL, Phase Locked Loop)1)정의위상 고정 루프 (PLL)는 한 회로 기판이
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.27
  • 예비보고서3_디지털통신2_Carrier Acquisition
    디지털통신2 예비보고서-3차-[Carrier Acquisition]제출일학과과목학번수업이름Carrier acquisition의미변조된 신호로부터 캐리어를 다시 복구해야 할 때가 있다 ... 발생시키는 발진기로 위상비교기에서 나온 값을 토대로 동기화될 때까지 출력 주파수가 변화한다.DSB-SC 신호를 수신한다면 다음과 같은 방법이 가능하다.Costas loopCostas ... 즉 피드백을 이용하여 입력 신호와 출력 신호 간의 차이를 전압으로 전달해주고 이에 따라 주파수를 바꿔서 위상 차이를 줄여준다.위상비교기(위상 검출기) : 입력 수신 신호의 위상과 국부
    리포트 | 3페이지 | 2,000원 | 등록일 2021.09.23
  • A+ 정보통신실험 5주차 예비보고서 - 저주파 통과 필터 회로
    예비보고서실험 5. 저주파 통과 필터 회로과목학과교수조학번성명제출일1. 실험 이론? ... 증폭기의 이득 K가 3에 가까울수록 Q가 커지고 에서 피크가 발생.2. K ? 3 이면 발진3. ... 전압 증폭이나 신호 분리 및 완충을 위해 증폭기로 사용※능동 필터의 회로의 특징1. 연산 증폭기에서 전력 공급2. 임피던스 성능 향상(입력 임피던스↑, 출력 임피던스↓)3.
    리포트 | 11페이지 | 1,500원 | 등록일 2024.02.05
  • 아주대 전자회로실험 결과7 output stage 회로
    신호를 증폭하지 않을 때 class A에 비해 전력소모가 적다.예비보고서 simulationsimulation에서도 node A, node B의 전압은 거의 0V이고 IE, IC도 ... 들어오지 않았지만 Q2가 켜져 있어 전력소모가 심하다는 것을 알 수 있다.예비보고서의 simulation에서도 VAB = 632.05mV, VED = 633mV로 Q1, Q2가 항상 ... 하지만 Q2 역시 IE = 28.45μA로 Q1과 Q2에서 소모되는 전력의 합을 고려해도 classA보다 훨씬 전력소모가 적다는 것을 알 수 있다.예비보고서 simulationVAC
    리포트 | 13페이지 | 1,500원 | 등록일 2020.11.30
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 4차 예비보고서
    아날로그 및 디지털 회로 설계 실습예비보고서설계실습 4. ... 실습 계획서1. 신호발생기 설계(A) 그림 4-1에 주어진 Wien bridge 회로에서 V+와 V-의 관계식을 구하시오. ... 실습 목적Wien bridge RC 발진기를 이용하여 신호 발생기를 설계, 제작, 측정하며 그 동작을 확인한다.2. 실습 준비물부품Op amp.
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • [아날로그 및 디지털 회로 설계실습] 예비보고서6
    아날로그 및 디지털 회로설계실습(실습6 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 6. ... 따라서 VCO의 output은 조금 더 안정된 신호발생시킨다. ... 설계실습 계획서3.1 위상제어루프의 용도(a) 이론부의 위상 제어 루프를 이해하여 요약, 설명하고 실제 사용되는 분야에 대해서 서술한다.전압 제어 발진기의 출력 위상을 입력 신호
    리포트 | 12페이지 | 1,500원 | 등록일 2022.09.14
  • 전자공학실험 7장 이미터 팔로워 A+ 예비보고서
    예비 보고서실험 07_이미터 팔로워과 목 명:전자공학실험1 실험 개요-BJT를 이용한 기본적인 세 가지 증폭기 중에서 공통 이미터 증폭기를 [실험 06]에서 실험하였다. ... , 함수 발생기, Q2N4401 (npn형 BJT), 저항, 커패시터3 배경 이론[그림 7.1(a)]와 같이 이미터 팔로워 회로에서 입력은 베이스 단자에 인가되고, 출력은 이미터 단자에서 ... 또한 출력 신호의 DC 레벨이 입력 신호의 DC레벨에서V _{BE}만큼 떨어진 전압이 나오기 때문에, 레벨 시프터로서도 동작할 수 있다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.04.09
  • 실험 07_이미터 팔로워 결과보고
    보고서와 저항값을 다르게 사용하였으나, 1kOMEGA 일 때 값을 예비 보고서와 비교하여 보면 오차가 조금 발생하긴 했지만 비슷하게 측정된 것으로 보아 실험은 잘 진행되었다고 볼 ... 결과 보고서실험 07_이미터 팔로워제 출 일:과 목 명:담당교수:학 교:학 과:학 번:이 름:1 실험 개요BJT를 이용한 기본적인 세 가지 증폭기 중에서 공통 이미터 증폭기를 [실험 ... [그림 7-11]과 같은 형태로 결과 보고서에 기록하시오.0.01pp일 때 출력 파형0.01pp일 때 입력 파형0.02pp일 때 출력 파형0.02pp일 때 입력 파형0.03pp일 때
    리포트 | 10페이지 | 1,500원 | 등록일 2023.01.31
  • 실험 18_증폭기의 주파수 응답 특성 예비보고서
    예비 보고서실험 18_증폭기의 주파수 응답 틍성제 출 일:과 목 명:담당교수:학 교:학 과:학 번:이 름:1 실험 개요이 실험에서는 [실험 17]에서 구현한 공통 소오스 증폭기의 주파수 ... 함수 발생기5. M2N7000(NMOS) (1개)6. 저항7. 커패시터8. ... , 이 전류를 생성하기 위한V _{pbias}및I _{REF} 전류도 결정하여 회로를 구성하시오([실험 16] 및 [실험 17]의 실험 절차 참조).: [실험 17]의 보고서 작성시
    리포트 | 8페이지 | 1,500원 | 등록일 2023.01.31
  • [기초전자실험 with pspice] 12 오실로스코프와 파형발생예비보고서 <작성자 학점 A+>
    오실로스코프와 파형발생기 - 예비보고서실험 목적오실로스코프의 동작 원리와 사용법을 익히고, 파형발생기의 사용법을 익힌다. ... 발생기파형 발생기는 전자 시험 장비의 종류로, 전자 신호인 파형을 발생시키기 위한 장비이다. ... 2가 동시에 나타나도록 오실로스코프를 조정하라.측정 데이터가 그림 1과 같이 나타나도록 오실로스코프를 조정하라.핸드폰 등을 이용하여 조정이 완료된 오실로스코프의 화면을 촬영하여 보고서에
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.28
  • 실험3 순차논리회로기초 - 교류및전자회로실험
    실험 3(예비보고서)순차 논리 회로 기초교류및전자회로실험 | 2020.10.02개요디지털 논리회로 교과에서 학습한 순차 논리 회로의 동작을 아두이노를 이용해 되풀 이해보고, 패키지 ... 주기가 2배 늘어나고, 주파수는 1/2로 된다.실험기기랩톱 PC, 아두이노 우노 보드, 브레드보드, 전선, 디지털 테스터, 스위치, 저항, 함수발 생기예비보고서(1)SR 플립플롭입력 ... 필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호 를 사용하므로 전용의 클럭 배선이 필요한 경우도 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.03.20
  • A+ 연세대학교 기초아날로그실험 12주차 결과레포트
    Project#1 ECG readout 설계결과보고서학번 이름[실험 1] 3 Op-amp IA그림1과 같이 3 Op-amp IA 회로를 구성하였다. ... 이때의 오차는 우리가 사용한 소자 각각에서 발생한 오차와 function generator가 생성하는 전원 자체가 일정하지 않다는 점에서 발생한 오차로 보인다.실험 결과를 예비 실험에서의 ... 이때 전기적 신호가 심실 근육 전체를 거의 동시에 탈분극시킬 정도로 매우 빠르게 전달되며 그 크기 또한 매우 크다. 따라서 다른 신호들에 비해 매우 크고 날카로운 파형을 갖는다.
    리포트 | 23페이지 | 2,000원 | 등록일 2023.07.03
  • A+ 정보통신실험 7주차 예비보고서 - 진폭 변조 회로
    예비보고서실험 7. 진폭 변조 회로과목학과교수조학번성명제출일1. 실험 이론? ... 신호발생 (일반적인 경우)4. ... VSB (Vestigial Sideband)※ VSB 신호발생1.
    리포트 | 13페이지 | 1,500원 | 등록일 2024.02.05
  • 서강대학교 22년도 전자회로실험 1주차 결과레포트 (A+자료)
    전자회로 예비/결과 보고서실험 1주차. ... 기기 사용법 실습 및 PSPICE 사용법 실습분반학번조학번시작종료실험시작/종료시간 기재(통계 목적임)예비보고서는 없으며 참고문헌을 읽어보는 것으로 대체한다.결과보고서는 아래 각 사항에 ... 이때의 전압은 함수발생기의 내부 전압 에 해당한다.High Z 모드에서의 출력신호 크기 (V) = 10.0V(16) 함수발생기의 출력단자에서 접지단자로 부하저항 RL을 병렬로 연결하라
    리포트 | 22페이지 | 1,500원 | 등록일 2022.09.21 | 수정일 2022.10.10
  • [전자회로설계실습]실습5(MOSFET와 BJT를 사용한 LED 구동회로 설계)_예비보고서
    MOSFET와 BJT를 사용한 LED 구동회로 설계예비보고서제출자 성명:제출자 학번:1. ... 함수발생기의 내부저항은 50Ω이고, 함수발생기로 선택한 Vpp는 50Ω 부하를 기준으로 하므로, 원하는 Vpp의 0.5배 값을 선택해야 한다. ... 설계한 구동회로에 1Hz, 5Vdc square pulse(50%)를 인가하려면 함수발생기의 전압(Vpp), OFFSET을 어떻게 조정해야 하는가?
    리포트 | 6페이지 | 1,000원 | 등록일 2022.04.11
  • 전자회로실험 결과 8번 에미터 접지 증폭회로 결과보고서/ 결과레포트
    결과보고서※각 항목에 반드시 검토 및 토의 내용을 작성하여 제출할 것.실험번호제출일제출자실험조학번이름실험 805.24(목요일)( 2 ) 조4.1 오실로스코프/ 함수 발생기1) 그림 ... 예비보고서에서 이득을 구했을 때 ? ... 그러나 예비보고서에서가정한 100값보다는 훨씬 컸다.data sheet에서 찾아본beta 값2) 예비 보고 사항을 통하여 구한 값으로 그림 17.3과 같은 회로를 구성하고, 입력에
    리포트 | 5페이지 | 2,500원 | 등록일 2019.11.17
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고
    (회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시) 또한 예비 설계보고서에서 예상했던 대로 회로가 동작하지 않았을 ... 아날로그 및 디지털 회로설계 실습- 실습 12 결과보고서stopwatch 설계12-4. ... 로직을 꾸며 리셋 신호에 인가) 즉 10진 카운터, 6진 카운터, 10진 카운터의 순서로 연결해야한다.2분 15초5분 08초6분 21초0분 00초- 함수발생기를 1Hz로 하면 속도가
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:27 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기