• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(13,670)
  • 리포트(12,464)
  • 시험자료(623)
  • 방송통신대(233)
  • 자기소개서(173)
  • 논문(101)
  • 서식(68)
  • ppt테마(5)
  • 노하우(2)
  • 이력서(1)

"신호주기" 검색결과 81-100 / 13,670건

  • [보고서점수A+]한국기술교육대학교 전자회로실습 CH4. 다이오드 클리퍼 클램프 실험보고서
    회로의 시정수 τ=RC가 주기 T에 비하여 충분히 크면 리플전압이 작아져 한 주기동안 커패시터의 양단전압이 계속 유지된다. ... 1.1 클리퍼 회로- 신호를 전송할 때 어떤 값 이상 또는 이하의 신호전압을 제거하는 회로이며, 리미터(limiter), 슬라이스(slicer)라고도 부른다. ... 시정수가 주기보다 작을 시, 커패시터의 방전시간이 입력전압의 반주기보다 짧기 때문에 출력파형은 찌그러진 형태로 나타난다.① 주요 용어 정의- 구형파 : 사각형의 파형으로, 방형파라고도
    리포트 | 28페이지 | 1,500원 | 등록일 2023.03.27
  • 디지털 통신 Summary Note(1)
    다음과 같이 정의한다.파워 신호주기가 T0 이면 한 주기 T0에서만 시간 평균을 구하면 된다. ... 또한 원점에서 값은 신호의 에너지 값을 나타 낸다.1.4.2 주기(파워) 신호의 Autocorrealtion실수 값을 가지는 파워 신호 χ(t) 의 autocorrealtion함수는 ... 그러므로 파워 신호의 autocorrealtion함수 는 다음과 같이 표현되기도 한다.실수 값을 가지는 주기 신호의 autocorrealtion함수는 에너지 신호와 유사한 다음과 같은
    리포트 | 45페이지 | 1,000원 | 등록일 2023.02.06
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 12. 오실로스코프_사용법_및_위상_측정 예비보고서 (A+)
    ]로 이동하면서 오실로스코프에 나타난 파형을 관측하고, 주기와 “High” 상태를 유지하는 시간을 계산하여 [표 12-2]를 작성하여라.(6)V _{g(t)}는 신호 발생기의 출력으로 ... 파형을 화면에 표시하기 위하여 일정한 주기로 나누어서 반복되는 파형을 화면에 표시하여야 한다. ... [표 12-1]의 실험은 프로테우스 8이라는 프로그램을 이용하여 주파수에 따른 정현파 파형을 관측하고, 주기를 구하는 실험이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2023.12.31
  • [반도체회로]실험보고서4(클리퍼와클램프회로)
    그러나 시정수 RC가 입력신호주기보다 훨씬 크다면 C는 거의 입력신호전압과 커패시터 전압이 합해져서 dc레벨이 5V인 10VP-P의 정현신호로 된다.그림4-5그림4-4그림4-6은 ... 입력신호의 정의 반주기 동안은 다이오드가 개방되고 C에 충전된 전하는 R을 통해 방전된다. ... 입력신호의 부의 반주기 동안 다이오드가 도통되어 C가 충전되는데 커패시터 C는 부의 첨두값 즉 5V까지 충전된다.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.10
  • 전자회로실험 제11판 예비보고서 실험1(오실로스코프 및 함수발생기 동작)
    전자 회로 실험에선 신호의 모양이 중요한 경우가 많아서 자주 사용한다.오실로스코프는 신호를 화면에 표시할 뿐만 아니라 정현파, 비정현파 신호의 평균값, 실효값, 주파수, 주기를 측정하기 ... 감도 = 0.1ms/div주기 = (0.1ms/div)(2div)=2msb. 200Hz, 0.8Vp-p 정현파 신호 출력 결과수직 감도 = 1V/div수평 감도 = 2ms/div주기 ... 순서 2(d) 결과를 이용하여 1000Hz 신호의 완전한 한 주기를 적절히 표시할 수평 칸수를 계산하라.f.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.05
  • [건국대학교] 분자세포생물학 A+ Essential Cell Biology 5판 단원정리 Essential_Concepts_Chapter18
    일부 세포 외부 신호단백질은 세포의 생존, 생장, 분열을 억제한다.19. ... 동물세포 수는 외부 신호가 세포의 생존, 성장, 증식을 제어함으로서 조절된다.15. ... 대부분의 동물세포는 단백질 분해과정에 의해 매개되는 세포 자살 프로그램인 세포 사멸을 피하기 위해서 다른 세포로부터 생존 신호를 전달받아야 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2024.05.25
  • 디지털신호와 아날로그신호의 차이점에 대해 설명하시오
    구형파 형태이다아날로그 신호의 특성- 양수 또는 음수인 최소값과 최대값- 주기적이거나 비주기적- 아날로그신호는 연속데이터에서 작동- 정확도는 디지털 신호에 비해 높지 않다- 자연적 ... 본론아날로그 신호는 입력신호에 의하여 출력신호가 연속적으로 변하는 정현파 형태이고 디지털 신호는 압력신호에 의하여 출력신호가 high 또는 low (1or0)과 같이 이산적으로 변하는 ... 디지털 신호는 일반적으로 대신호에 사용되며 비선형으로 고려된다. 본론에서는 디지털신호와 아날로그 신호에 차이점에 대해서 설명하고자 한다2.
    리포트 | 3페이지 | 5,000원 | 등록일 2024.04.26
  • A+ 정보통신실험 5주차 결과보고서 - 저주파 통과 필터 회로
    실험 결과1) 위상360° : (한 주기 시간) = (위상차) : (파형의 시간차)? T(한 주기 시간) * x(위상차) = 360° * ?t? ... 실험 결과실험치를 이용해 위상과 크기를 구하면,1) 위상360° : (한 주기 시간) = (위상차) : (파형의 시간차)? T(한 주기 시간) * x(위상차) = 360° * ? ... 실험 결과실험치를 이용해 위상과 크기를 구하면,1) 위상360° : (한 주기 시간) = (위상차) : (파형의 시간차)? T(한 주기 시간) * x(위상차) = 360° * ?
    리포트 | 9페이지 | 1,500원 | 등록일 2024.02.05
  • 실험6. 클램퍼 회로
    레벨 시정수를 결정하라τ = 0.1인가신호주기와 off상태에 놓여있는 시간간격 반주기를 결정하라.T = 1msT/2 = 0.5ms방전주기 5τ일 때 시간간격을 계산하고 b에서 ... 높은 저항을 가졌을 때는 5 τ가 인가되어진 신호보다 긴 시간이며 비교적 낮은 저항을 가질 때는 인가되어진 신호의 반주기 와 비슷한 시간 간격임을 볼 수 있다.R=100ohms로 하여 ... 방전시간이 길어지면서 반주기 동안 커패시터에 저장된 전압이 거의 변하지 않기 때문에 클램핑된 파형이 인가된 신호와 유사하게 나온다.저항을 1Kohms로 변경하여 5τ를 계산하라.5τ
    리포트 | 12페이지 | 1,000원 | 등록일 2022.10.01
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 11차 예비보고서
    2us이어서 주파수는 0.5 MHz이고, Q2의 파형의 주기는 4us이어서 주파수는 0.25 MHz이다. ... 또한, 입력 신호, Q1 신호, Q2 신호의 파형을 함께 그린다. ... Asynchronous 4-bit counter과 같이 비동기식 4진 카운터에 구형파(square wave)를 인가하는 PSpice simulation을 한 결과, Q1의 파형의 주기
    리포트 | 7페이지 | 1,000원 | 등록일 2024.02.17
  • [기초전자실험 with pspice] 12 오실로스코프와 파형발생기 예비보고서 <작성자 학점 A+>
    보통은 주기신호(AC)를 생성할 때 사용한다. 기본적인 신호는 AC 신호이고 OFFSET 설정으로 DC 성분을 추가할 수 있다. ... 또한, 전자공학 실험에서 실험을 진행할 경우 신뢰성 있는 신호로 사용할 수 있다.전원 버튼: 파형발생기의 전원을 ON/OFF 할 때 사용한다.파형 선택 버튼: 출력 파형을 선택하는 ... 사용하여야 한다.실험을 진행하기 전, 파형 발생기에 설정한 파형이 오실로스코프에 정확히 출력되는지 확인 후 실험을 진행한다.파형 발생기파형 발생기는 전자 시험 장비의 종류로, 전자 신호
    리포트 | 6페이지 | 1,000원 | 등록일 2023.01.28
  • 부산교통공사 신호직 자기소개서
    철도 선로에 있는 RTU나 LEU 설비 등과 더불어 선로전환기와 같은 설비, 그리고 신호배전소에 있는 전기설비 등을 주기적으로 점검하며 관련된 지식을 쌓게 되었습니다. ... 어떻게보면 큰 철도시스템속에서 신호직의 업무분야가 작게 느껴질수도있겠지만, 저는 신호직렬들이 다루는 신호시스템등에 고장이 생 기게되면 철도시스템 전체가 무너질수있다는 부분을 잘 알고있기때문에 ... 신호설비와 관련된 지식을 쌓아나갈수있었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.07.23
  • [경희대 A+] 실험 6. 클램퍼회로 예비결과보고서
    인가신호의 처음 한주기 동안 다이오드가 off 상태에 놓여 있는 시간간격에 해당하는 반주기를 결정하라.=> 인가신호의 주파수 f = 1000 [Hz] 이므로 주기 T =T`=` {1 ... =>tau `=`RC`=`100k` TIMES `1` mu `=`100`[ms]ⓑ 인가 신호주기를 계산하라. ... 이는 입력신호주기 1ms에 비해 매우 긴 시간이기 때문에 위 파형과 같이 커패시터 전압이 거의 일정한 것을 확인할 수 있습니다.4.
    리포트 | 38페이지 | 1,500원 | 등록일 2023.12.26 | 수정일 2024.01.08
  • 1주차_1,16장_예비보고서_오실로스코프 및 함수발생기 동작
    함수발생기는 보통 주기신호를 만들어 낼 때 사용한다. ... 주기적인 전압 파형의 주기와 기본 주파수 측정신호발생기를 오실로스코프에 연결하여 주파수를 조정, 주파수 값 확인수평감도를 확인하고 수평축의 눈금 수 확인눈금의 개수에 수평감도를 곱하여 ... 결정한다.진폭(Amplitude): 한 주기에서 전압 값의 최대, 최소값을 결정.오프셋(Offset): 평균 볼티지 값을 증가, 감소시킬 수 있다.위상차 (Phase): 신호의 위상을
    리포트 | 25페이지 | 4,000원 | 등록일 2023.11.30
  • 일반물리실험2 정류회로 결과보고서
    그리고 오실로스코프는 전기적인 신호(전압)를 브라운관에 그려주는 기기로 시간에 따라 신호(전압)들의 크기가 어떻게 변화하는지를 알려준다. ... 실험에서는 이 오실로스코프를 이용하여 정류회로 실험기기 회로상의 여러 지점의 전압 파형을 측정하고 이 파형의 전압진폭, 주기, 진동수를 해석하면서 교류가 직류로 변화되어지는 과정을 ... 실험값(1) 과정 (10): Ⓐ-Ⓑ단자 사이의 전압파형∙Probe Tip의 모드 : X(10)∙VOLTS/DIV : 1 V∙TIME/DIV : 5 ms∙전압진폭  : 32 V∙주기
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.04
  • 신호발생기와 오실로스코프 사용법_결과레포트
    보통 주기신호를 만들어 내는데 사용한 다. 고성능의 장비로는 컴퓨터와 연결하여 단발성 파형 등을 만들기도 한다. ... 본 실험의 목적은 신호발생기와 오실로스코프의 기본적인 사용법을 익히는 것에 있다. 이 실험에서 사용 한 신호발생기는 전자 신호를 생성하는 모든 장치이다. ... 함수 발생기, 임의 파형 발생기 및 벡터 신호 발생기는 특수 신호 발생기의 일반적인 유형이다. 이러 한 여러 개의 신호발생기의 유형 중 이번 실험에서는 함수 발생기를 사용하였다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.03
  • 디지털 회로 실험 및 설계 - ADC(Analog to Digital Converter) 실험 1
    샘플링- 주기 T마다 아날로그 신호를 측정하여 저장하는 작업이다.- 샘플링 결과가 나오면 연속적인 아날로그 신호로부터 불연속적인 신호가 추출되고, 각 샘플링 값을 연결하면 원 신호를 ... 복원할 수 있다.- 샘플링된 아날로그 신호를 디지털 코드로 바꾸는 작업이 AD 변환이다.- 주기 T를 짧게 할수록 원래의 아날로그 신호를 더 정확히 복원할 수 있으며, 이 경우 처리해야 ... AD 변환- AD 변환은 아날로그 신호의 크기에 비례하는 디지털 신호를 만드는 작업이다.- 아날로그 신호는 연속적이므로 아날로그 신호 전체를 디지털 신호로 바꿀 수 없으며, 일정 시간마다
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 오실로스코프를 활용한 측정 보고서-고퀄
    그 후 트리거를 상승로 엣지(신호에 변화가 생기는 것)로, 트리거 레버를 신호로 보면서 선택한다. 마지막으로 SINGLE모드로 신호를 찍듯이 잡는다. ... 나오면 특정 신호가 그려지도록 SEC/DIV을 조정한다. ... 그런다음 GND 신호가 원점에 posion을 잡아서 젤 높은 전압으로 실험할 대상의 전압을 확인한다 = 프로브의 연결고리는 OUT PUT에, 그라운드 클립은 GND에 연결한다 신호
    리포트 | 5페이지 | 1,500원 | 등록일 2023.03.13
  • 기초전자실험 4장_반파 및 전파 정류_결과레포트
    사용3) 반파정류입력신호의 반을 제거하여 직류 값을 얻는 과정반주기의 평정류 회로PIV = 인가된 정현파 신호의 피크값? ... 그림 4-9는 양의 반주기에서 입력전압이 걸리지만 음의 반주기에선 -V _{` _{T}}만큼 걸린다. ... 그림 4-9는 양의 반주기 에서 입력전압이 걸리지만 음의 반주기에선 -V _{` _{T}}만큼 걸린다.
    리포트 | 18페이지 | 2,000원 | 등록일 2023.02.16
  • 디지털신호처리 보고서 - 3 푸리에 급수, 푸리에 변환
    푸리에 변환은 시간 영역에서 분석하기 힘든 주기 신호, 비주기 신호 모두에 적용이 가능하다. ... 이때 주기 신호를 변환하면 주파수 도메인 상에서 푸리에 시리즈를 그린 그래프와 동일하게 나온다. ... 푸리에 급수, 푸리에 변환예제 3.6, 예제 3.7제출일전공과목학번시간이름Fourier Series임의의 주기 함수는 사인, 코사인의 정수배의 주파수를 더함으로써 만들 수 있다는 것으로
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.23
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대