• 통큰쿠폰이벤트-통합
  • 통합검색(2,416)
  • 리포트(2,243)
  • 자기소개서(144)
  • 시험자료(19)
  • 논문(6)
  • 방송통신대(3)
  • 서식(1)

"실습 NS" 검색결과 81-100 / 2,416건

  • vhid 전가산기 이용 설계 보고서
    변하게하고 y값은 0과 1이 80ns마다 변하게한다. ... 그리고 z값은 0과 1이 40ns마다 변하게 설정해준다.1) 입력이 0개 일 때0 ~ 40ns ( 총 1구간 )입력 x, y, z가 모두 0일 때 출력 값은 0을 가진다.2) 입력이 ... 1개 일 때40 ~ 120ns , 160 ~ 240ns ( 총 3구간 )입력 x, y, z 중 하나의 입력만 1을 가질 때 sum은 1을 출력하게 되고 Carry는 0을 출력하게
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.11
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    실험 결과- 실습 1 1bit, n bit 비교기 설계동작적 모델링자료 흐름 모델링진리표에 따라 작성해보자. ... A y=00101+00001=0011040~50ns (s=100, AND) : y=a and b -> y=00101 and 01100=0010050~60ns (s=101, OR) : ... y=a or b -> y=00101 or 01100=0110160~70ns (s=110, XOR) : y=a xor b -> y=00101 xor 01100=0100170~80ns
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: 8X1 MUX1. ... 이므로 Zo=1시뮬레이션 결과와 계산 결과가 일치한다.실습제목: Simple ALU1. ... 성공했다고 넘기지 않고 조금 다른 것을 해보려고 하니 얻을 수 있는 지식이 많았다.실습제목:Hamming Code1.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 경막하출혈 케이스 <특히 간호과정 칭찬많이받았어요>, 간호교육계획서도 포함
    성인간호학 I 임상실습CASE STUDY[subarachnoid hemorrhage]목 차1. 서론1) 연구의 필요성 및 목적2. ... 따라서 금연이나, 금주뿐 아니라 혈압이 오르지 않도록 식생활의 조절 및 0성별 : F연력 : 64입원과명 : NS주소 : 전주시입원일자 : 2019. 5. 16입원경로 : □ 외래
    리포트 | 26페이지 | 2,000원 | 등록일 2020.06.20 | 수정일 2021.03.16
  • [성인간호학실습2 A+] 정형외과(OS), 신경외과(NS) 병동 대상자 간호 사전학습보고서
    신경외과(NS) 병동 대상자 간호1. 수술2. 시술 및 검사3. 기타 학습Ⅰ. 정형외과(OS) 병동 대상자 간호1. 근골격계 구조와 기능? ... 성인간호학실습Ⅱ- 사전학습 보고서 -과 목 명실습기관지도교수학 번이 름제 출 일ㅇㅇㅇ대학교목차Ⅰ. 정형외과(OS) 병동 대상자 간호1. 구조와 기능2. 주요 질환3. 수술4.
    리포트 | 27페이지 | 3,000원 | 등록일 2023.05.01 | 수정일 2023.05.04
  • VHDL을 통해 구현한 ShiftRegister 실습보고서
    실습에 주어진 조건2-1)TestBench source그림12, 13. ... 실습으로는 Shift register을 설계하며, circular, logical, arithmetic shift를 모두 구현한다. ... 1.목적(Purpose)이번실습은 FlipFlop을 이용한 Shifter을 설계하는 것으로, Clock과 Enable 그리고 mode에 따라 각각 다른 동작을 하는 회로를 설계하는
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • VHDL_3_RAM,ROM,JK Flip Flop, Register
    실습제목: RAM, ROM1. 주제 배경 이론RAM(Random Access Memory)과 ROM(Read Only Memory)은 메모리의 일종이다. ... edge, PR = 0, CLR = 1J = 1, K = 0 -> Q = 0, Q_Bar = 1실습제목: 8비트 시프트/병렬 레지스터1. ... 수명은 약 10년 정도이다.이번 실습에서는 RAM은 읽기와 쓰기가 가능한 회로로, ROM은 읽기만 가능한 회로의 기능을 하도록 했다.2.소스코드 설명RAM1~4)자료형과 conv 함수등을
    리포트 | 13페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 7. 논리함수와 게이트 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 7 예비보고서-논리함수와 게이트학과 :담당 교수님 :제출일 :조 :학번 / 이름 :7-1. ... 실습목적여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다.7-2. ... , TD=0V2 : High5V, low=0V, PW=0.5us, PER=1us, TF,TR=1ns, TD=0으로 설정하면 00,01,10,11인 경우 모두 확인 할 수 있고, 4us까지
    리포트 | 9페이지 | 1,000원 | 등록일 2022.09.06
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    2실습제목: Soda vending machine1. ... , Reset = 0Y = 1001 = 9실습제목: 존슨 카운터1. ... 실습은 1011을 검출하는 것이었다. 그에 따라 상태도를 그리면 다음과 같다.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 간호학과 <간호학개론> 기말고사 정리본, 파일 5개 ZIP
    전문코디네이터, 상급 간호사, 전간, 전담간, 상급간호사, anp, cns 등등)- 진단분야별: 당뇨, 장루, 결핵, 류마티스 등- 기술별: 장기이식, 정맥주사, 헌혈, 체혈, 상처치료 ... 전문간호사2) 전문간호사의 전문분야와 양성- 종별 해당실무경력, 저문간호사 교육기관 지정 기준 및 신청, 전문간호사 교육과정 이수과목 등에 대해 규정- 이론 23학점, 실습10학점( ... 300시간 이상 임상 실습) = 33시간(미국, 대만보다 적음)- 보건복지부장관 지정 > 한국간호교육평가원에서 대행- 자격시험 필기 1차, 실기 2차- 노인 간호사 증가, 보건, 가정은
    시험자료 | 15페이지 | 3,000원 | 등록일 2024.05.08
  • 해운대백병원 소아과 실습일지 (소아과에서 하는일 기록)
    :00-2:30 컨퍼런스2:30 퇴원 간호 관찰 및 입원 간호 관찰입원 V/S & 간호3:00 BST 측정3:30 주사기 NS 채우기4:00 실습끝*전체적으로정맥주사 관찰. ... 실습일지학번실습장소해운대백병원 소아과이름실습기간2019.1.4~2019.1.8교수님실습날짜내 용1/47:00 실습시작7AA 인계듣기7:30 전체인계듣기8:00 7AA, 7AC V/S ... 측정하기9:30 주사제 물품정리9:50 약물 전달 및 네뷸라이저 사용 관찰, 약물 준비10:00 약물 준비 관찰10:30 주사기 NS 채우기11:00 약물 안내 종이 배부하기전체
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.12
  • 충북대 디지털시스템설계 결과보고서2
    디지털시스템설계 실습 결과보고서학번이름1. 실험 제목4-bit Multiplier Design2. ... 그리고 100ns에서 m이 1011이 되도록 설정하고 마찬가지로 200ns에서는 q가 0101, 300ns에서는 m이 0110, 400ns에서는 q가 1000, 마지막으로 500ns에서 ... 결과0~100ns에서는 m, q 둘 다 1111이기 때문에, 출력 p는1111 TIMES 1111=11100001이다. 100~200ns에서는 m이 1011이기 때문에 출력 p는1011
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • VHDL-1-가산기,감산기
    Co=17) 100~150ns -> X=0, Y=1, Cin=1S=0, Co=18) 150~200ns -> X=1, Y=1, Cin=1S=1, Co=1이후는 이것이 반복된다.실습제목 ... REPORT실습제목: 반가산기1. 주제 배경 이론2진수의 덧셈을 구현하는 회로이다. 한 자리 수만 존재한다고 가정한다. ... 두 가지 설계 모두 반가산기의 Truth table과 동일하고 알맞게 설계된 것을 알 수 있다.실습제목: 전가산기1.
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • (21년) 중앙대학교 전자전기공학부 전기회로설계실습 결과보고서 12. 수동소자의 고주파특성 측정방법의 설계
    설계실습 12. ... 따라서 실험을 통해 커패시터와 인덕터의 고주파 특성을 잘 알아둘 수 있도록 한다.설계실습 결과4.1 RC 회로의 주파수 응답실험에 앞서 실험에 사용될 소자들의 값들을 측정하였다.20KΩ ... °6MHz2.61V1.96V3.8ns8.208°8MHz3V1.51V1.3ns3.744°10MHz3.16V0.62V11.2ns40.32°11MHz2.81V0.86V29.2ns115.632
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.22 | 수정일 2022.09.06
  • 청소년 SNS중독 레포트 해결방안 및 느낀점
    실제로 작년 상반기에 실습하였던 부산시 청소년상담복지센터에서는 주기적으로 인터넷, 스마트폰 과 의존 청소년들을 위한 치유캠프를 개설하여 11박 12일 동안 합숙하며 그들에게 영화감상 ... 있어서 sns는 끊을 수가 없는 것이다.하지만 우리는 이 문제에 대해 강압적인 규제만이 해답이 아니라는 것을 알아야만 한다. ... 또한 부모들이 청소년들에게 sns의 중독성을 강조하며 손에서 스마트폰을 놓게 하려해도 정작 그들마저도 손에서 휴대폰을 놓지 못하고 있지 않은가?
    리포트 | 3페이지 | 1,000원 | 등록일 2023.07.01
  • 12. 수동소자의 고주파특성측정방법의 설계 결과보고서
    MHz1200 ns5 MHz1100 ns10 MHz0.66750 ns15 MHz0.550 ns20 MHz0.33350 ns약 10MHz의 주파수에서부터 이론과 실제가 달라지기 시작한다 ... ◎결론: 전체적으로 설계실습이 잘되었다고 생각한다. 이번 실험은 앞의 다른 실험들과 달리, 오차율을 계산할 필요가 없는 실험이었다. ... 설계실습12. 수동소자의 고주파특성측정방법의 설계◎요약: R= 9.92 kΩ, C=100 nF인 소자를 이용하여 둘이 직렬로 연결된 회로를 구성한 후 주파수 응답을 측정하였다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.03.05
  • 디시설, 디지털시스템설계 실습과제 7주차 인하대
    총 800ns동안 진행되도록 #800 $finish; 문을 사용했고 50ns 단위이므로 총 16번의 input이 입력된다.이제 출력된 waveform을 확인해보자. 4비트 input은 ... 확실이 반복문을 사용하면 길어질 수 있는 코드가 간결하게 정리되어 매우 유용한 것 같다.디지털시스템설계 실습 7주차 과제 ... 각 비트에 맞는 값으로 부터 까지 정확하게 출력이 된다. 4_to_16 Decoder가 제대로 동작한다.이번 실습에서 loop문을 사용한 카운터와 디코더를 설계해봤는데, 객체지향프로그래밍
    리포트 | 3페이지 | 1,500원 | 등록일 2021.08.31
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    시뮬레이션 결과 및 설명sel = 0 : 0ns ~ 160ns 까지는 A의 입력을 그대로 출력에 내냄.sel = 1 : 160ns ~ 320ns 까지는 B로부터 받은 입력을 반전시켜서 ... 한 번 진동하는데 걸리는 시간은 역수를 취하면 얻을 수 있고 20ns가 나온다. 20ns * 50,000,000 = 1s이다. ... 출력.실습제목: FND decoder1.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 충북대 디지털시스템설계 결과보고서1
    디지털시스템설계 실습 결과보고서학번이름1. 실험 제목1-bit Full Adder Design2. ... 이는 0~5ns 구간과 같다. 즉, 20ns마다 반복됨을 알 수 있다.5. ... 때문에, S은 1, Co은 0이다. 10~15ns에서는 A와 B가 반전되므로 A, B, Ci에서 B만 1이기 때문에, 마찬가지로 S은 1, Co은 0이다. 15~20ns에서는 A가
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 베릴로그 전가산기 설계
    디지털시스템설계 실습 #1 보고서1. full adder를 다음의 방법으로 설계하고 검증하라. ... [소스코드][테스트벤치][결과파형]소스 코드와 파형이 일치하는지 확인해보면, 설계한 테스트벤치에 따라서 a, b, ci 모두 초기값 0에서부터 시작하여 각각 40ns, 20ns, 10ns ... [소스코드][테스트벤치][결과파형]소스 코드와 파형이 일치하는지 확인해보면, 설계한 테스트벤치에 따라서 a, b, ci 모두 초기값 0에서부터 시작하여 각각 40ns, 20ns, 10ns
    리포트 | 5페이지 | 2,500원 | 등록일 2021.06.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대