• 통큰쿠폰이벤트-통합
  • 통합검색(857)
  • 리포트(845)
  • 자기소개서(5)
  • 논문(4)
  • 시험자료(2)
  • 이력서(1)

"오실로스코프의 작동원리" 검색결과 81-100 / 857건

  • [오차분석 끝판왕, 학점A+, 성적인증] (서울시립대) 물리학및실험2 2주차 멀티미터및오실로스코프작동법 - 예비+결과레포트
    실험 설계의 적절성 *본 실험의 목적은 멀티미터와 오실로스코프의 작동원리를 이해하는 것이었다. ①멀티미터를 다루어 전압측정을 잘 할 수 있었다. ②오실로스코프의 전압 파형
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.09 | 수정일 2021.08.17
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    인코더는 디지털 시스템에서 정보를 전송하는데 상용된다.실험 장비 및 재료실험 장비NI ELVIS오실로스코프: PHILIPS 60 MHz Digital Storage Oscilloscope ... 디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 있다.디코더와 인코더의 원리를 이해한다.실험 이론2진화 ... 실험을 통해 확인한 결과, 이를 잘 따라서 표시되는 것을 볼 수 있었다.디코더이 실험에서는 디코더로 작동하는 7447과 그를 표시하는 FND 507을 이용하여 디코더의 작동에 대해서
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 아주대학교 일반전자공학실험 Free Space Optical Communications A+ 결과보고서
    베이스가 되는 부분이 옵티컬 신호에 따라 조절이 되고 빛이 들어왔을 때 그것이 다이오드와 비슷한 원리로 +, -의 전압을 나누어 주게 되고 결국 홀과 전자를 서로 나누어줌에 따라 거기에 ... .> 오실로스코프에 연결된 모습, 함수발생기가 아날로그 인풋1번에 연결 되어 있는 모습> 측정한 것을 읽기 위해 아날로그 인풋을 통해 오실로스코프를 통해 읽게 된다> 함수발생기가 우리가 ... 입힐 수 있어 광무선 통신 시스템의 전송거리가 microwave에 비해 줄어드는 단점도 있다.Exercise 8-1 A Phototransistor Detector광 트랜지스터의 작동
    리포트 | 10페이지 | 2,000원 | 등록일 2022.05.14
  • 서강대학교 22년도 전자회로실험 2주차 결과레포트 (A+자료)
    즉 전압이득 측정값은 이론값과 0.8%정도의 차이를 보였고, 이는 측정상 오차 요인을 고려했을 때, 상당히 작은 오차이기에, 해당회로가 반전 증폭기로서 제대로 작동함을 확인할 수 있었다.으로 ... OP 앰프 기본 원리 이해분반5(금)조학번이름시작15:10종료17:50실험시작/종료시간 기재(통계목적임)예비보고서는 아래 각 문항 중 (예비)라고 되어 있는 부분을 수행하여 작성한다.결과보고서는 ... 설계과제를 수행하여 결과를 작성한다.회로 구성 사진 및 측정화면 사진은 실험 조원의 학생증 등 ID 가 보이도록 촬영함실험목적OP AMP를 이용한 연산증폭기 및 미분기, 적분기의 동작 원리
    리포트 | 18페이지 | 1,000원 | 등록일 2024.03.24
  • 실험23_계수기 회로_결과레포트
    이때 JK입력은 모두 1이 인가된 상태로서 JK FF이 작동할 때에 무조건 toggle이 일어나도록 설계되어 있다.JK FF의 동작은 CLK가 인가될 때 NGT(neagtive edge ... , CLK의 4배가 된다.이를 timing diagram으로 나타낸 것이 그림 23.2이다.그림 23,2 Clock과 출력 ,의 파형⑵ MOD-2{} ^{n} 계수기 회로의 구동 원리를 ... 통해 Clock을 CH1,Q _{0}를 CH2로 출력 및 관찰한다.⑷ 오실로스코프를 통해Q _{0}을 CH1,Q _{1}를 CH2로 출력 및 관찰한다.3.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 기초전자실험 결과 보고서 - 미분회로와 적분회로
    커패시터를 이용한 적분회로와 인덕터를 이용한 적분회로를 실험한다.실험 원리입력파형을 미분하여 출력하는 회로를 미분회로(Differential Circuit)라 하고, 반대로 입력파형을 ... RLC 병렬회로의 공진 특성 및 임피던스 변화를 실험한다.실험 원리저항과 인덕터 및 커패시터를 직렬 또는 병렬로 연결한 RLC 회로는 RC 또한 RL 회로와는 다른 특성을 나타낸다. ... 그런데 A-G 사이에 부하 (인두기, 30W)를 연결하니 A-G 전압은 0V가 되었고 부하가 작동하지 않았다. 이 현상은 어떻게 해석하여야 할까?
    리포트 | 13페이지 | 1,500원 | 등록일 2020.01.21
  • 건국대 물및실2 11주차 패러데이 법칙 결과레포트
    이론 및 원리패러데이(Faraday)의 유도 법칙은 회로내의 유도 기전력 ε은 그 회로를 통과하는 자기 플럭스의 변화하는 율과 같다는 것이다. ... 오차에 의해 결과 값에 오차가 발생할 수 있다.두 번째, 패러데이 법칙 실험 장치가 작동하면서 마찰이 발생해 에너지가 열에너지나 소리에너지로 변환되어 오차가 발생할 수 있다.세 번째 ... 토의오실로스코프와 패러데이 법칙 실험장치를 통해 전자기 유도현상을 실험하고, 기전력 및 각속도와 전압의 관계를 살펴봤다.진동수와 주기는 반비례하고, 각속도와 진폭전압은 비례하는 것을
    리포트 | 8페이지 | 1,500원 | 등록일 2024.07.10
  • 중앙대 전자회로 설계 실습 결과보고서2_Op Amp의 특성측정 방법 및 Integrator 설계
    Offset Voltage 와 Current를 이해하는 것은 Op Amp 의 내부 구조와 작동 원리를 이해하고, Op Amp를 이용하여 목적에 맞는 회로를 설계할 때 더욱 정확한 결과 ... 알아보았다. slew-rate를 실험적으로 측정한 뒤, data sheet와 비교하여 측정방법이 올바른지 알아보았으며, 이를 통해 slew-rate와 Integrator 회로의 구조와 작동원리 ... 오실로스코프의 stop 기능을 활용하여 가장 평균이라고 생각되는 값들을 조사하여 계산한 결과 위와 같은 결과가 나왔다.
    리포트 | 9페이지 | 2,000원 | 등록일 2024.03.05 | 수정일 2024.03.11
  • 부산대 555timer 2 결과 보고서
    실험 원리내부 회로도555 타이머의 내부 블록 다이어그램과 도식은 칩이 구현되는 방식을 명확히 하기 위해 세 개의 도면 모두에서 동일한 색상으로 강조 표시됩니다. [2]분압기: 양의 ... 응용 예제인 메트로놈 회로를 구성하여 가변 저항을 조절하여 스피커에 나오는 소리를 오실로스코프로 측정하여라.실험 4.Bistable circuit1. ... 실행) 모드 555는 전자 오실레이터로 작동할 수 있습니다.
    리포트 | 10페이지 | 2,500원 | 등록일 2024.02.01
  • 광학실험2 변위측정 레포트
    빛의 간섭 현상과 마이켈슨 간섭계의 원리를 이해한다.㈏ 오실로스코프의 작동법을 익힌다.㈐. 마이켈슨 간섭계를 구성하고 간섭무늬를 이용하여 변위를 측정한다.? ... 간섭무늬가 생기게 된다.간섭무늬는 빔이 갈라지면서 지나는 두 경로의 거리가 서로 다르기 때문에 빛의 위상차나, 서로 다른 물질을 지나면서 생기는 굴절률의 차이에 의해 생기게 된다.이러한 원리를 ... 실험 도구He-Ne 레이저광 분할기미러x2미러 마운트 x2포스터 x4포스트 홀더 x4포토 디텍터스테이지PZT 컨트롤러오실로스코프?
    리포트 | 5페이지 | 1,000원 | 등록일 2019.11.07
  • 기초전자회로실험 - 발진회로 설계 예비레포트
    관련 이론 :1) 오실로스코프 :오실레이터는 진동의 원리에 따라 작동하는 기계 또는 전자 장치이다. 에너지의 변화를 기반으로 하는 두 가지 사이의 주기적인 변동을 한다. ... 전자 발진기에는 여러 가지 유형이 있지만 모두 동일한 기본 원리에 따라 작동한다. 발진기는 항상 감도가 높은 증폭기를 사용한다. 이 증폭기의 출력은 입력 위상에 피드백 된다. ... 실험 장비 :실험장비 : DC Power supply 1대, 오실로스코프 1대부품 : 저항 1 kΩ, 10 kΩ, 가변저항 10 kΩ, 커패시터 0.1 μF, 커패시터 0.01 μFOP
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • [이학전자학실험보고서] 555timer 실험보고서 2
    실험 원리1. ... 트리거가 작동하면, output 전압을 높게 만들고, reset 전압은 0.7 V이면 작동하는데 작동하면, output 전압이 낮게 떨어진다. ... 실험기구 및 재료오실로스코프, 직류전원 장치, 브래드 보드, 555timer, 스위치4.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.08.24
  • [중앙대학교 ] 전자전기공학부 전기회로설계실습(A+) 결과레포트13
    서론인덕터는 발전기, 변압기등의 핵심적인 소자로서, 인덕터의 작동원리를 확인하는 것은 매우 중요하다.2. 설계실습 결과2.1 설계실습 8을 응용하여 코일의 인덕턴스를 측정하라. ... 발전기 원리 실험2018○○○○ ○○○요약: 인덕터의 동작원리인 Faraday’s law를 실험적으로 확인하기 위한 실습을 진행했다. ... 오실로스코프를 이용해 저항전압이 입력의 63%가 되는 time constant를 측정한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.09.11 | 수정일 2020.09.12
  • 물리화학실험-전압측정 결과보고서(Electrical Signal Measurement Techniques)
    , DVM를 이용하여 각 기기의 원리를 이해 및 작동 방법을 습득하고 저항을 읽고 구별하는 법을 익한다. ... 47KOMEGADVM1.6061.4731.5990.8080.7360.804DVM의 입력저항(Zin )(MOMEGA )11.07{}_{5}10.73{}_{6}10.22{}_{2}9.494{}_{0}oscilloscope1.521.361.480.700.640.68oscilloscope의 ... 이때r의 크기는 조정할 수 없기 때문에 전압계의 Zin값을r에 비해 상대적으로 크게 하여 전압을 측정하도록 한다.새건전지와 폐건전지를 전원으로 하여 전압계인 오실로스코프와 DVM을
    리포트 | 3페이지 | 1,000원 | 등록일 2022.03.06
  • 8장 소신호 베이스 공통 교류증폭기 실험
    토의이번 실험은 베이스 공통 교류증폭기의 등가회로를 해석하고 작동원리에 대하여 알아보는 실험이었다. 또한 전압이득과 입력 임피던스, 전류이득도 계산할 수 있다. ... 실험원리베이스 공통 교류증폭기는 교류증폭기 구성방법 중 가장 낮은 임피던스와 가장 높은 임피던스 범위를 가진다. ... 실험기기 및 부품트랜지스터저항100Ω, 1kΩ, 2.2kΩ, 10kΩ, 12kΩ, 56kΩ, 1MΩ커패시터 1μF, 100μF오실로스코프직류전원공급기디지털 멀티미터신호발생기브레드 보드4
    리포트 | 8페이지 | 1,000원 | 등록일 2020.12.19
  • 광운대학교 전기공학실험 실험1. 기본 논리게이트 결과레포트 [참고용]
    실험 개요AND, OR, NOT, NAND, NOR, XOR 게이트의 기본 동작 원리 논리함수를 이해하고 실제 사용되는 기본 논리게이트 IC에 대해 익힌다. ... 따라서 구성한 게이트가 알맞게 작동함을 확인 할 수 있다.3) 예비보고서 3항의 회로를 구성하고 진리표를 확인해라그림 8 실험 3 만능기판 사진AF1F2이론값측정값오차%이론값측정값오차 ... 이때 오실로스코프의 시간축을 좌우로 크게 늘여 출력파형이 상승하는 부분과 하강하는 부분을 관측 파형의 모양을 그리고 상승시간과 하강시간을 각각 측정한다.그림 23 실험 9 만능기판
    리포트 | 12페이지 | 1,500원 | 등록일 2023.12.29 | 수정일 2024.01.06
  • [전력전자실험]풍력 발전기
    풍력 발전기에 대한 이해와 작동원리를 알아본다.2. 실험 이론 및 원리가. ... 전류는 교류이고 우리가 사용하는 전자기기는 직류에서 작동하므로 모든 전원장치나 전자제품에 정류기가 포함되어 있다. ... 험 5 : 다이오드의 역할1) G-D 지점에서 오실로스코프 전압 파형을 그리고, 최대전압과 주파수는 얼마인가?
    리포트 | 7페이지 | 2,500원 | 등록일 2020.05.09 | 수정일 2020.08.12
  • 논리게이트를 이용한 회로구성
    고찰기본 논리 게이트인 AND, OR, 반전기를 활용하여 회로를 구성해보았으며 회로가 어떻게 작동하는지 알아보았다. ... 실습 장비 및 재료- 전원 공급기, 함수 발생기, 멀티미터, 오실로스코프 등- 고정저항 330ohm 2개, LED 2개- 논리 게이트 : 74LS04(반전기), 74LS08(AND게이트 ... 실험 과정① 논리 회로를 보고, 회로도 구성하기그림 2. ② 회로도 분석하기 (동작 원리 설명)그림 3. ③ 회로도를 보고, 회로 구성하기④ 전압 인가하여 LED 점등 관찰① A =
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.19
  • 아주대학교 기공실 labview programming 실습
    실험 목적Labview의 작동원리와 사용방법을 익혀 보고 실습하는 시간을 갖는다.2. ... + α x 전출력값- 루프 외곽을 클릭하여 시프트 레지스터 추가 , “다이나믹 데이터로부터 변환”을 while 루 프 안에 위치시킨다.- 아이콘 배치 및 와이어링, filter가 작동하는지 ... DAQ 장비 연결을 해제하고 17번과 18번을 연결시킨뒤 프로그램을 실행하여 입 출력 데이터가 동시에 제대로 주고 받는지 실험을 하는 확인이였다.셋팅을 완료한 후 labview를 작동시키면
    리포트 | 17페이지 | 3,000원 | 등록일 2020.03.17 | 수정일 2022.04.06
  • [일반물리학실험]파동의 중첩
    Oscilloscope 사용 시 필요한 단자 외에는 손을 대지 않는다.나. Oscilloscope를 켜기 전 모든 단자들이 올바르게 되어있는지 상태를 확인한 후작동한다.다. ... 실험 장치1) 오실로스코프(Oscilloscope)시간에 따른 입력전압의 변화를 화면에 출력하는 장치. 전기진동이나 펄스처럼 시간적 변화가 빠른 신호를 관측한다. ... 파동의 중첩 원리와 리샤주 (Lissajous) 도형을 관찰하고, 이를 이해한다.2. 실험 이론 및 원리가.
    리포트 | 10페이지 | 3,600원 | 등록일 2020.10.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대