• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14,685)
  • 리포트(11,812)
  • 자기소개서(1,729)
  • 시험자료(678)
  • 방송통신대(280)
  • 논문(130)
  • 서식(35)
  • ppt테마(13)
  • 이력서(6)
  • 노하우(2)

"제어시스템설계" 검색결과 81-100 / 14,685건

  • [공학시스템]Hysterisis On-Off제어기를 이용한 계장시스템설계와 연구
    Hysterisis On-Off제어기를 이용한 계장시스템설계와 연구SIMTool 로 그려본 블럭선도Input for On : Off에서 On으로 변하기 위한 입력의 크기 설정 Input ... 제어기의 폭을 결정하는 2개의 변수를 일정하게 변화시키면서 시스템의 성능을 대조군(대상 시스템)과 비교하는 방법이었다. ... 시스템마다 Hysteresis On-Off 제어기의 최적값이 다르겠지만 문제의 시스템에서는 단지 On-Off기능만을 할 때 최대의 성능을 보였다.
    리포트 | 14페이지 | 1,000원 | 등록일 2007.07.10
  • []모델기준 보상기를 이용한 다변수 제어시스템 설계
    모델기준 보상기를 이용한 다변수 제어시스템 설계13.1 서 론◎ 다변수 제어시스템 설계- 1960s, LQ (최적제어 기반) : 다변수 설계 가능(전-상태 피드백 필요 ← 현실적 불가능한 ... 제어시스템 구성.설계파라미터? ... 가격함수를 최적화하는 제어시스템 설계 가능- LQ, LQG 의 한계수학적 최적제어이론 기반가격함수에 포함된 가중행렬의 조직적 선정방법 X (시행착오적 설계)시스템 성능 및 안정도-강인성
    리포트 | 8페이지 | 1,000원 | 등록일 2006.06.08
  • Max+plue[VHDL]을 이용한 화장실 전등제어 시스템 설계
    화장실 전등제어 시스템 설계설계 개요설계하고자 하는 화장실 전등제어 시스템은 공중화장실에서 사람이 없는데도 항상 전등이 켜져 있는 경우를 방지하기 위하여 설계하였습니다. ... 이 화장실 전등제어 시스템의 전등에 불을 켜고자 한다면, 우선 en=`1`이 되어야 합니다. ... 설계 방법은 센서를 통해서 입력을 받는 것을 기본으로 설계되었습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2007.06.27
  • [자동제어(PID)]SIL(Software In the Loop)을 이용한 DC모터 위치제어시스템 설계
    실험 보고서실험명SIL(Software In the Loop)을 이용한DC모터 위치제어시스템 설계과목명자동제어(1)실험원학과학번성명지능기계제출일2006. 6. 051. ... 제어설계(1)실험(시뮬레이션)환경- PSIM 6.1- Matlab 7.2(2)제어대상(Plant)의 전달함수()()()(3)설계하려는 제어기의 설계 방법- PID제어기- PID ... 서론(1) 제어 시스템(2) 요구조건1. 계단 기준입력에 대한 출력응답의 2% 정착시간(settling time) < 1 sec2.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.06.09
  • 건축설비턴키설계 시 필요한 설비시스템 모음(냉,난방 , 공조 , 소방 , 위생 , 환기 , 주차장환기 , 자동제어 등)
    턴키설계시 없으면 안돼는 시스템별 모음 위생 및 소방 ,신,재생에너지관련 , 자동제어 , HVAC
    리포트 | 2,000원 | 등록일 2011.02.16 | 수정일 2024.03.25
  • [CAD/CAM,공학,설계,자동제어,수치제어,NC,][컴퓨터 디자인]CAD/CAM System에 관한 분석
    목 차1장 CAD/CAM System의 개요2장 CAD System에서 이용되고 있는 Modeling System3장 CAD 시스템의 종류 및 관련내용1. CATIA2. ... 다양화.특징 :* 대형 컴퓨터를 호스트(host)로 한 턴키 시스템(turn key system)의 이용 가능.* 미니 컴퓨터를 호스트로 한 턴키 시스템의 처리 능력이 향상되어 시장 ... 확대.* 퍼스널 컴퓨터를 이용한 CAD/CAM 시스템의 시장 확대.(3) CAD/CAM의 시스템개념 설계 --> 생산 설계 --> 업무 기본 설계 --> 공정 계획 --> 구체적
    리포트 | 32페이지 | 2,000원 | 등록일 2006.06.21 | 수정일 2016.05.08
  • 제어 설계 시스템에 대하여 보상 시스템이 20%의 오버슈트를 가지면서 최고값 시간이 비보상 시스템의 0.667배가 되도록 설계하고 계단입력에 대하여 정상 상태 오차가 0이도록 설계하라
    설계 과정 및 시뮬레이션 결과그림.1 비보상 피드백 제어 시스템1단계:비보상 시스템이 20%의 오버슈트를 가질 때 시스템 성능을 평가해 보면 20%의 오버슈트에 해당하는 선 zeta ... 설계 목표시스템에 대하여 보상 시스템이 20%의 오버슈트를 가지면서 최고값 시간이 비보상 시스템의 0.667배가 되도록 설계하고 계단입력에 대하여 정상 상태 오차가 0이도록 설계하라2 ... 결국 PD 제어기는 다음과 같다.그림3.비보상,PD보상된 경우의 계단 응답3단계: PD제어기를 설계한 후 계단 입력에 대하여 정상 상태 오차가 0인 이상 적분 보상기를 설계한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.03.21
  • [제어] 디스크 구동기 판독 시스템의 순차적인 설계
    서 론제어시스템설계는 공학적 설계의 특정 예이다. ... 제어공학 설계의 목적도 실제요소에 부합하는 제안된 시스템의 구성, 규격, 주요 매개변수를 설정하는 것이다.설계과정의 첫 단계는 시스템 목표를 설정하는 것이다. ... 이렇게 요구되는 제어 정확도에 따라 제어변수를 측정하는 센서를 지정할 수 있다.설계자는 원하는 제어성능을 나타내는 시스템을 일차적으로 구성한다.
    리포트 | 45페이지 | 3,500원 | 등록일 2004.01.03
  • 자동제어 개론 설계과제 - Matlab을 이용한 feedback system의 해석 / Matlab을 이용한 PID analog controller analysis
    설계목적- 주어진 특정 제어 시스템에서 제어기의 상세 사항을 설계하고 설계제어기의 MATLAB 구현 및 시험 입력을 사용한 안정성 검증 및 성능평가를 통하여 스스로 분석하고 설계하며 ... < 자 동 제 어 개 론 >설계과제 보고서설계과제11. 제목 : Matlab을 이용한 feedback system의 해석2. ... 설계 과정1) 조건- 오른쪽 그림과 같은 Disk Drive read system이 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2008.06.23 | 수정일 2019.04.12
  • 자동제어 설계프로젝트 (PI,PD,PID)컨트롤 시스템 (메트랩 이용, 모든 사진과 그래프 첨부 만점 레포트)
    project #1Design of PI, PD, PID control systems◎목 적PI, PD, PID 컨트롤러를 직접 설계해 보고, 지정된 조건에 부합하는 회로를 구동해 ... 공학 기초지식과 전문지식을 활용하여 전자공학의 시스템, 부품, 공정, 방법을 분석하고 설계하는 능력을 기른다.2. ... 전 단계의 과정을 통하여, D 컨트롤 (미분 제어기)이 제어 시스템의 응답속도를 빠르게 해준다는 것을, 확대된 Scope의 Rising time 분석을 통해 알 수 있었습니다.
    리포트 | 16페이지 | 10,000원 | 등록일 2009.04.02
  • [공정제어] 3. 다단 액위 System설계,Instrumentation 및 Identification 실험
    D 동작을 사용하면 시스템의 안정성이 증가한다. ... 교반제어기의 피드 포워드 제어기의 설계{▣Time Delay를 조사하여라.모든 공정에는 제어출력을 공정에 넣어줄 경우 공정출력에 바로 영향을 받지 않고 어느정도 시간이 지난 후 공정출력에 ... Gain을 너무 크게 하면 시스템은 Unstable해진다.-.적분동작이 증가(= Ti감소)하면 Offset이 없어지나, 점점 Overshoot가 발생하고 너무 증가하면 역시 Unstable해진다
    리포트 | 12페이지 | 2,000원 | 등록일 2004.04.08
  • P제어기, PI제어기, PD제어기를 사용한 제어설계
    위의 설계 지침서에 따른, 설계 제한요소를 맞추기 위하여 우리는 Root locus method 와 Bode diagram 방법을 사용할 것이며, 사용하기 앞서 controller에 ... PID 제어를 하기 전의 가장 기본적인 제어이며 구현하기 쉽다. 다만, 이득의 조정만으로는 시스템의 성능을 여러 가지 측면에서 개선시키기는 쉽지 않다. ... 시스템의 유형을 높여주고 정상상태 오차를 줄여주면서 과도응답으로 발생한 시스템의 느린 반응을 빠르게 할 수 있다. 즉, 감쇠비를 증가시키고 동시에 정상상태 오차도 개선한다.
    리포트 | 19페이지 | 4,500원 | 등록일 2020.12.22 | 수정일 2024.08.19
  • 실험7 속도 제어 시스템 결과보고서 (아주대 자동제어실험)
    정상 상태 오차를 제거하기 위해 비례-적분제어기를 사용한다.② 비례-적분 제어(PI Controller) 시스템 설계제어기의 전달함수에G(s)=K _{p} + {K _{i}} over ... 계단응답※ 실험분석비례 제어 시스템설계하기 위해 전달함수G(s)는 ① 시스템의 전달함수 구하기에서 구한{2.139} over {1.093s+1} = {1.793} over {s ... 실험이론① 비례제어 (P-Controller) 시스템 설계직류 전동기의 입력 전압에 대한 속도의 전달함수는 그림1과 같이 1차 시스템으로 나타낼 수 있다.그림 1 직류전동기의 전달함수비례
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • 자동제어 보고서 병아리 부화기
    분석 및 설계- 시스템 특성파악- 모델유도3. 설계- 문제 설정- 제어설계4. 구현 및 실험- 제어기 구현- 앞으로 구현해야 할 내용1개요15년 2월 5일. ... 각각 0를 넣으면R _{t 설계한 알고리즘도 감지기를 이용한 되먹임 제어에 기반을 두고 있으니, 기반을 두는 제어기법은 되먹임 제어가 되겠습니다.3설계문제설정제어설계제어목표를 정하고 ... 이것이 부화장에 제어가 필요한 이유 입니다.2분석특성파악대상시스템에 대해 검토한다. 입력 및 출력에 해당하는 변수를 결정한다.제어알고리즘 ?
    리포트 | 23페이지 | 3,000원 | 등록일 2020.06.09
  • 제어시스템 분석과 MATLAB 및 SIMULINK의 활용 7장 솔루션
    점검문제 7.2>> s=tf('s');>> G=(10*(s+1)*(s+20))/(s*(s+30)*(s+50));>> w=logspace(-1,2);>> bode(G,w);>> grid on;점검문제 7.5 >> clear>> w=logspace(-1,1,100);wn=..
    리포트 | 7페이지 | 4,000원 | 등록일 2021.12.08
  • 제어시스템 분석과 MATLAB 및 SIMULINK 의 활용 5장 솔루션
    먼저 시스템의 안정성을 조사하고 , 만약 불안정하다면 왜 불안정 한지를 예를 들어 설명하고 , 시스템이 안정화 하기 위해 제어기를 선정한 뒤 설계해 보시오 . s = tf (' s ... 제어해보자 . ... kp =2 로 제어해보자 .
    리포트 | 10페이지 | 2,000원 | 등록일 2023.11.21
  • [전력전자]전력전자 실험, 서보모터 제어이론과 실습
    또한 제어하고자 하는 대상을 플랜트(plant), 제어동작을 수행하는 장치를 제어기(controller)라고 부릅니다.개루프 제어시스템(open loop control system ... : 비궤환 제어시스템)이 제어시스템은 비교적 장치가 간단하고 복잡하지 않으나 오차가 많이 발생할 경우 교정할 수 있는 피드백(feedback)요소가 구성되어 있지 않아 조건변화 즉, ... 제어 시스템의 블록도(Block diagram)제어 시스템은 여러 개의 구성요소들(components)로 이루어져 있습니다.이러한 구성요소들의 동작 특성을 나타내기 위해서 일반적으로
    리포트 | 23페이지 | 2,500원 | 등록일 2020.10.31
  • 제어시스템 중 피드백 시스템에 대한 예를 제시하고 모델링 과정을 설명하시오.
    시스템 모델링, 제어설계, 시뮬레이션 및 실험 결과제안된 제어설계 방법은 모델링 과정에서 정확한 모델링을 위해 필요한 파라미터를 추정하고, 제어설계에서는 시스템의 특성을 ... 시스템 모델링, 제어설계, 시뮬레이션 및 실험 결과를 통해 효율적이고 안정적인 제어 시스템을 개발하는 것을 목표로 하였다. ... 이러한 결과는 제어설계 분야에서의 실제 적용 가능성을 보여주며, 더 나은 제어설계를 위한 기초가 될 것이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.12.18
  • 실험8 위치 제어 시스템 결과보고서 (아주대 자동제어실험)
    (P-Controller) 시스템 설계① 실험 설계 및 전달함수 구하기비례제어 시스템 회로를 설계하기 위해서 실험6과 비슷하게 진행하였다. ... 여기서 설계 조건을 PO = 10%, Ts = 3sec와 같이 설정한다.그림 6 비례-미분 제어기를 이용한 위치 제어 시스템2) 비례-적분 제어(PI Controller) 시스템 설계비례-미분제어기 ... 실험이론1) 비례 제어(P-Controller) 시스템 설계비례 제어기를 이용한 속도 제어 시스템은 그림 1과 같으며 이 시스템 전체 전달 함수 및 특성 방정식은G(s)= {Y(s)
    리포트 | 8페이지 | 3,000원 | 등록일 2021.07.16
  • 디지털 논리회로2 설계과제
    디지털 논리회로2 설계과제 레포트*** 교수님*분반 전자전기공학부321***** ***목차알고리즘 분석 및 시스템 블록 설계ASMD Chart제어설계DataPath 설계알고리즘 ... 분석 및 시스템 블록 설계Start = 0 이면 초기상태에서 정지, Start = 1 이면 시스템이 동작한다.피제수(Dividend)를 Z2 , 제수(Divisor)를 A에 저장한다 ... , P가 0이 되면 비교 및 감산을 종료한다.최종 감산의 결과가 Z1에 업데이트되며 이것을 나머지, 최종 비교의 결과가 Z2에 업데이트되며 이것을 몫이라고 한다.ASMD Chart제어
    리포트 | 5페이지 | 2,500원 | 등록일 2021.11.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:34 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대