• 통큰쿠폰이벤트-통합
  • 통합검색(11,683)
  • 리포트(10,700)
  • 자기소개서(393)
  • 시험자료(248)
  • 방송통신대(189)
  • 서식(69)
  • 논문(62)
  • ppt테마(11)
  • 기업보고서(5)
  • 노하우(4)
  • 이력서(2)

"주차시스템" 검색결과 81-100 / 11,683건

  • [시스템] 주차관리시스템
    과 목 : 시스템 분석 및 설계 지도교수 : 이철수 교수님 학 과 : 산업공학과 학 번 : 9913009 성 명 : 김광수목 차Data Flow Diagram IDEF 1X HIPO ... 새로운 요금제 공고4.1 요금 체계주차 시간입 력처 리출 력1. 주차 시간을 파악요금 정산 기록주차권 고객의 총 주차 시간을 파악한다. ... 주차 시간이 30분 미만인 주차는 무료이다.
    리포트 | 20페이지 | 1,500원 | 등록일 2004.05.28
  • 주차장 효율적 관리 시스템
    전략 기술 내용1) 명칭 : 주차 정보 시스템2) 목표 :1. ... 기기들이 고가 이면서도 부피가 크지만 저희는 일반적인 주차장의 기존 시스템에 차량들의 주차공간을 예약하기 위한 터치스크린 설비와 발권 시스템, 네비게이션 정보 회사와의 연계를 통한 ... 주차장 효율적 관리 시스템.◎ 목차 ◎1. 선정 배경 및 목적2. 기본 현황3. 전략 기술 내용4. 소요 장비 및 예산5. 차별화 전략6. 기대 효과7.
    리포트 | 10페이지 | 1,000원 | 등록일 2007.03.31
  • 인공지능 자동주차시스템 설계 행동 함수 레포트.
    자동 주차 시스템자동차에 부착된 센서들..--------------------------------------------------------------------< 1단계 >:차가 ... -1후진메시지ME0주차가능메시지1주차불가능메시지주차공간SP0주차가능공간1주차불가능공간다음단계NE0다음단계로넘어감1시스템대기IF SE6 is 0, THEN SP is 0IF SE6 is ... 주차공간의 측면을 쭉 훑고 지나가서 주차가능 여부파악.센서1:SE1 ,센서2:SE2 ,센서6:SE6 ,동작MO ,메시지:ME ,주차공간:SP ,다음단계:NE센서1SE10주차가능1주차불가능센서2SE20주차가능1주차불가능센서6SE60주차가능1주차불가능동작MO0전진1정지
    리포트 | 7페이지 | 1,000원 | 등록일 2010.11.22
  • C++ 아파트 주차관리 시스템
    #include using namespace std;int flore1[10]={0}; //각층 주차공간에 해당하는 배열int flore2[50]={0};int flore3[100] ... OutCar(); //차량 출차void SearchCar(); //차량 검색void ShowAllCar(); //전체차량 출력void Emptypark(); //주차가능 공간 출력void ... ;}char* Park::getCarNum(){return CarNum;}char* Park::getHPnum(){return HPnum;}void AddPark( ); //차량 주차void
    리포트 | 4,000원 | 등록일 2008.12.05
  • [VHDL]주차 안내 시스템 텀프로젝트
    [parking][db]cmp_state.inidisplay_line.vhdparking.asm.rptparking.doneparking.fit.eqnparking.fit.rptparking.fit.summaryparking.flow.rptparking.map.eqnp..
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.09 | 수정일 2016.05.18
  • [중소기업론] 주차시스템 창업
    Outsourcing system과 PES 이용을 기업 이윤적 측면과 고객의 편의성 측면 강조.경쟁자 협력업체P E S대형상점 쇼 핑 몰건 축 회 사OUTSOURCING COMPANY주차시스템 ... 시스템 업체주차 아웃소싱 업체주차 설비 시스템을 보유. ... 대진대학교PESPARKING EASY SEARCH SYSTEM??!!
    리포트 | 41페이지 | 5,000원 | 등록일 2005.05.21
  • 초음파센서을 이용한 자동위치제어주차 시스템
    국내 업체로는 현대자동차가 2007 서울모터쇼에 출품한 자동주차 시스템인 PAS(Parking Assist System)을 선보였다. ... 주차 시스템의 기능을 갖춘 ‘프리우스’를 개발했다. ... 내비게이션 화면을 통한 자동 주차 시스템을 상용화하여 판매를 하고 있다[1-7].
    리포트 | 35페이지 | 3,000원 | 등록일 2008.06.04
  • 주차 타워 시스템 주차 관리 시스템 ★ 자바 텀 프로젝트 최종 보고서 (프로젝트 계획서+프로젝트 요구 정의서 + 유스케이스 + 클래스 + 시퀀스 + 사용자 메뉴얼)
    주차 처리 시스템과 운전자용 주차 처리 시스템시스템은 동시에 동일한 파일 또는 데이터베이스를 액세스하지 않는다고 가정한다.- 데모 시 테스트의 속도를 빠르게 하기 위해, 요구에서 ... →정회원 내역 출력2.3 운전자용 주차 처리 시스템 요구 정의서운전자는 주차 관리 시스템을 이용하여 차량 주차와 차량 출차를 수행할 수 있다. ... 1시간은 구현 시 10초로 구현한다.2.2 관리실용 주차 처리 시스템 요구정의서[그림 2.1] 관리실용 주차 처리 시스템 메인 메뉴현재 정회원 수총 주차 가능 자리현재 주차 차량 수현재
    리포트 | 78페이지 | 6,000원 | 등록일 2012.08.27
  • [시뮬레이션][ARENA] 주차안내시스템 시뮬레이션
    주차안내시스템이 존재하지 않는 주차장과 존재하는 주차장을 시뮬레이션하여 그 효율성을 알아 보고자 한다. ■ 시뮬레이션 대상: 수원 영통 홈플러스 지하 1층 주차장 ... 만약 각 층의 주차 현황과 방향마다 비어있는 자리 수를 알려주는 시스템이 있다면 운전자는 쉽게 빈자리를 찾아갈 수 있을 것이다. ... (Academy version의 제약으로 일부 변경, 축소하였다.)■ 고객도착간격 홈플러스에 이미 주차안내시스템이 존재하여 지상, 지하 현황을 보고 고객이 이동하기 때문에 전체 고객도착간격을
    리포트 | 12페이지 | 2,500원 | 등록일 2006.06.22
  • [공학]주차관리 시스템 및 에너지 절약
    주차관리 시스템 구성도차량진입송수신 센서 감지카운터 차량 수 표시음성으로 입차 알림주차장내 전등 점화차량 주차장으로 이동주차완료상황판 표시주차관리시스템 종료6. ... 동 작 설 명1) 주차관리 시스템 동작설명① 전원 인가 시 주차 관리 시스템 작동 준비된다.② 주차장 입구에 차량 진입시 카운터에서 차량 수 체크 및 음성으로 입차를 알림③ 차량이 ... 개 요3.1 주차관리시스템의 필요성현재 주차장시설은 많은 주차차량으로 인해 주차공간을 찾는데 많은 어려움을 겪고 있습 니다.
    리포트 | 30페이지 | 3,000원 | 등록일 2006.10.13
  • 자동화 실험-자동 주차 시스템-
    어려운 주차를 컨베이어와 AGV를 이용해 자동주차시스템을 실현하기 위해 현장에 적용될 기기를 설치하기 전에 각 기기들의 효율성과 정확한 위치들, 즉 FA시스템을 컴퓨터상에서 automod로 ... 1.프로그램 설명이 프로그램은 주차장에서의 주차의 불편함을 해소시키기 위해 Conveyor와 AGV를 이용하여 들어오고 나가는 차 두 경우에 대해서 자동 주차시스템 프로그램을 설계해 ... 보았다.우선 들어오고 나오는 주차당 컨베이어 1개씩을 설정하여 총 2개의 컨베이어를 만들었다.컨베이어 01에서 들어오는 차를 주차시키기 위해경로를 따라 sta1 지점에서 sta2까지
    리포트 | 3페이지 | 1,000원 | 등록일 2006.11.25
  • 주차장 출입관리시스템 총 파일 논문 8051 이용한 작품
    차량 내 공간을 확보 할 수 있는 주차시스템이다.본 논문은 2장에서 개발한 환경 및 작품 설명하였다. 3장에서는 주차장 출입 관리 시스템에 대한 전체 적인 하드웨어 구성 내용, ... 또한, 한정된 국토에 차량은 점차 늘어나기 때문에 넓은 주차공간에 대한 수요가 늘어나므로 이러한 문제점을 해결하기 위해서는 주차장 출입 관리 시스템을 개발하여 인력난 감소가 되고, ... 그럼에도 불구하고 아직까지는 우리나라 주차장에서 입구에 배치되어 주차장 출입만 안내하는 주차요원과 각 층에 배치 된 도우미들을 별다른 치 없이 주차 공간을 찾는데도 어려움을 느끼고
    리포트 | 56페이지 | 10,000원 | 등록일 2010.02.06
  • [VHDL][VHDL]주차장 종합 관리 시스템
    library ieee; use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity project is port( clk: in std_logic; reset: in std_logic; reset_1: ..
    리포트 | 21페이지 | 5,000원 | 등록일 2006.06.27
  • [공학기술]VHDL을 이용한 주차 관리 시스템 (졸업작품)
    차제작 목적 및 목표H/W 회로도 , 시뮬레이션 결과작품결과기대효과기타..PAGE:3제작 목적 및 목표> 목 적필요한 주차 공간을 보다 편리 하게파악 하기 위한 주차 시스템 구현> ... 정면을보면 왼쪽부터1, 2, 3 (초보자분들을위해) 그 외 자세한것은 데이타쉬트를 참조하세요...FILE:자료.ppt..PAGE:1VHDL을 이용한주차 현황 시스템..PAGE:2목 ... 목 표본 작품은 주차공간에 센서를 부착하여 주차공간의 유무를 LED에 표시함으로써 주차 시 불필요한 시간낭비를절약하고자 하는데 목표가 있다..PAGE:4H/W 회로도4쌍의 센서입력
    리포트 | 9페이지 | 1,000원 | 등록일 2007.06.20
  • MAX+PLUS II의 VHDL을 활용한 주차 빌딩 관리 시스템
    MAX+PLUS II의 VHDL을 활용한 주차 빌딩 관리 시스템으로한백전자의 EMPOS COMBO 보드에 올려 테스트까지 완료한 프로그램입니다.이 프로젝트는 디지털시스템설계 과목에서 ... ----------------------------------------------------MAX+PLUS II의 VHDL을 활용한 주차 빌딩 관리 시스템으로한백전자의 EMPOS ... COMBO 보드에 올려 테스트까지 완료한 프로그램입니다.이 프로젝트는 디지털시스템설계 과목에서 자유주제로 한학기간의 프로젝트를 수행하면서 만든 작품입니다.PPT 발표자료까지 첨부합니다
    리포트 | 16페이지 | 2,500원 | 등록일 2007.12.30
  • Atmega128 과 간단한 C 코드를 이용한 자동주차시스템 구현( 아트메가128 , 적외선센서2개, RC카 )
    시스템입니다. ... : 발표PPT, 데모동영상, C 코드이 프로젝트는 자동 제어 실험과목에서 진행한 Atmega128과 코드비젼(C 컴파일러)를 이용해서 RC카에 적외선센서 2개를 붙혀서 구현한 자동주차 ... (코드설명까지 친절히 주석처리 되어있습니다. ) 성공적으로 프로젝트를 완료하여 실제 자동주차가 완료되는 동영상까지 첨부되어 있기때문에 이자료 하나면 2~3일 안에 프로젝트를 완성시킬수
    리포트 | 20페이지 | 5,000원 | 등록일 2012.11.21
  • [임베디드시스템설계] 무인주차 RC카 프로젝트제안서
    시스템 개요 2.1 RC-CAR 를 Remote Controller 로 원격 제어(Bluetooth 사용) 실재 자동차를 이용한 제어장치의 제작은 비용상 불가능하므로, 우선 RC-CAR ... 하지만 주차는 일정 공식에 따라 수행하기에 충분히 자동으로 구현할 수 있다 생각하여, 무인주차기능을 수행하는 전자제어 장치를 개발하기로 하였습니다. 2. ... 개발 배경 대다수의 초보 운전자들이 가장 어렵게 느끼는 것 중 하나가 차를 주차시키는 겁니다.
    리포트 | 7페이지 | 2,000원 | 등록일 2008.07.07
  • 통신 시스템 결과 프로젝트 보고서 , 주차 레이더 관련 보고서
    통신시스템결과 프로젝트 보고서♧ 주차레이더(Parking Rader) ♧담당교수 :과 목 명 : 통신시스템제 출 일 :학 번 :이 름 :목 차Ⅰ. 서 론1. 목적2. 기대효과Ⅱ. ... 운전이 미숙한 사람이 도로가에 주차를 하기위해 한참 땀을 흘리는 모습을 일상에서도 가끔씩 볼 수가 있다. ... 결 론1 . 1 추진 일정주차연구내용프로젝트 주차별 진행사항비고7∼89∼1011∼1212∼1313∼1414∼15?
    리포트 | 10페이지 | 2,500원 | 등록일 2008.01.10
  • [임베디드시스템설계] 무인주차RC카 상세설계문서
    본 문서는 “무인주차가 가능한 RC-CAR 프로젝트”를 진행하면서 제작하게 되는 시스템 설계에 대한 함수의 정의와 각각의 알고리즘을 각각 서술한다. ... 본 문서에서는 무인 주차가 가능한 RC-CAR라는 시스템의 소프트웨어 및 하드웨어에 대한 설계 사항들을 정의하고 그 구성 및 역할에 대해 서술하고 있다. 2.2 상세설계 문서 목적 ... 또한 임베디드 시스템 설계를 위한 하드웨어 부분의 회로도 및 하드웨어의 구조적인 사양까지 명시하여 시스템을 개발/제작하는 데 있어 효과적인 진행을 목적으로 한다.
    리포트 | 66페이지 | 7,000원 | 등록일 2008.07.07
  • [임베디드시스템설계] 무인주차 RC카 요구사항문서
    Embeded System Design 2008 문서 작성일 : 2008. 04. 04 작성자 : 72 INTRODUCTION 2.1 목적 본 장에서는 무인주차 RC-CAR 제작을 ... BMW는 주차 공간이 좁은 경우 차량을 주차하는 데 어려움이 있었던 문제를 해결하고자 무인 주차 시스템을 개발하였는데 2006년 7월 뮌헨의 BMW 본사에서 시연한 무인 주차 시스템은 ... 이 주차 시스템을 사용하려면 주차 공간의 안쪽벽에 반사 렌즈를 설치해야 하는데, 이 설치된 반사 렌즈까지의 거리와 각도를 차체 프런
    리포트 | 38페이지 | 6,000원 | 등록일 2008.07.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대