• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(916)
  • 리포트(860)
  • 시험자료(37)
  • 자기소개서(10)
  • 방송통신대(8)
  • 논문(1)

"플립플롭의 기능" 검색결과 81-100 / 916건

  • 아날로그및디지털회로설계실습 래치와플립플롭
    서론순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. 실험결과1-3. ... 결론순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.참고 자료를 올려주신 조교님께 감사의 말씀을 드립니다. ... 아날로그 및 디지털회로 설계실습예비 REPORT9. 4-bit Adder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.15
  • 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    -플립플롭의 종류? RS 플립플롭 ? JK 플립플롭 ? D 플립플롭 ? T 플립플롭 ... -플립플롭과 조합 논리회로로 구성되어 있다. ... -인코더(Encorder) : 디코더의 반대되는 기능(입력과 출력이 바뀐 기능)을 수행하는 회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 홍익대학교 전전 실험1 레지스터 예비보고서
    위의 언급한 모든 기능을 갖춘 시프트 레지스터를 만능(universal) 시프트 레지스터라 한다. ... 링 카운터링 카운터는 임의의 시간에 카운터를 구성하는 플립플롭 중 단하나의 플립플롭만이 출력이 1이 되고 나머지 플립플롭이 0이 되는 순환 시프트 레지스터이다. ... 클럭펄스가 있을 때, 1이 입력되면 1은 플립플롭1의 출력으로 그대로 전달된다.(플립플롭2의 입력으로) 4번째 클럭펄스 후에 플립플롭4의 출력은 1이 된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.12.25
  • 플립플롭 실험보고서
    실험 예비보고3.1 NAND 게이트로 구성된 R-S 플립플롭을 설계하라.3.2 [그림 7-2]의 회로도에 Clear 및 Preset 기능을 추가하라.3.3 T 플립플롭에 대한 특성표는 ... 실험목적본 실험을 통해 R-S 플립플롭에 대해 알아본다.J-K 플립플롭에 대해 알아본다.D 플립플롭에 대해 알아본다.T 플립플롭에 대해 알아본다.Master-Slave J-K 플립플롭에 ... [J-K 플립플롭]JK플립플롭은 D플립플롭과 함께 시스템에서 가장 많이 사용되는 형태이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.26
  • 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    플립플롭을 다수 종속으로 연결한 형태로, 카운터에 있는 플립플롭 전제하는 본 회로는 J-K 플립플롭의 2단 구성이다. ... 이를 통해 총 몇 번의 클락이 인가되었는가 알 수 있는 카운터 회로로써 기능함을 확인할 수 있었다. ... 다만 구성에 있어 실험 1과 달리 2단 플립플롭의 값이 순환하도록 구성하고, 클럭과 플립플롭을 동시에 연결한다는 점에 차이를 둔다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 실습 8. 래치와 플립플롭 예비보고서
    래치와 플립플롭8-1. 실습목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 아날로그 및 디지털회로설계실습 실습8(래치와 플립플롭)결과보고서
    설계한 RS-Latch 회로가 잘 동작하였으며, 래치와 플립플롭의 기능에 대해서 알아볼 수 있었다. ... 래치와 플립플롭)아날로그 및 디지털 회로 설계실습설계실습 8. 래치와 플립플롭요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대해 알아보고 이해한다. ... 이를 통해 래치와 플립플롭의 원리에 대하여 이해할 수 있다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.24
  • 기초전자회로실험 - D래치및 D플립플롭 예비레포트
    플립플롭은 JK플립플롭보다 기능면에서 단순하고, JK플립플롭의 J와 K를 같은 노드로 두면 T플립플롭으로 변한다.[8]5. ... (구조 : 마스터 슬레이브 방식) 이것도 D 플립플롭처럼 클락신호의 trigger edge에만 반응하여 위의 진리표대로 기능을 수행한다.[8](6) T 플립플롭:T 입력단자에 0이 ... 대신, 이 회로를 개선하여 사용하지 못하는 입력신호에 새로운 기능을 넣어 탈바꿈하 는 시도에서 생긴 것이 J-K플립플롭이다. 그 추가된 기능은 토글이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.02.27
  • 아주대학교 논리회로실험 / 7번 실험 Shift Register 결과보고서
    예상 결과와의 비교1번 실험 예상 결과와 비교실험 1에서는 J-K 플립플롭을 여럿 연결하여 구성한 레지스터 회로를 구성하고 그 기능과 작동을 확인하는 실험이다. ... 레지스터 쉬프트는 플립플롭의 궤환 기능을 이용한 것이라고도 볼 수 있는데, 본 실험에서는 조금 더 엄밀히 말하자면 이러한 레지스터의 ‘쉬프트’를 회로로 구성하고 확인하는 것이 골자라고 ... 데이터 (직렬)은 첫 플립플롭의 J와 K에 연결하고 PR1과 PR2는 각각 첫 번째와 두 번째 플립플롭의 PR입력에 연결되어 두 bit의었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.07.20
  • 기초전자회로실험 - Sequential logic design using Verilog(순서논리) 예비레포트
    실험 목적 :1) 래치나 플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자.2) 만약에 FPGA보드를 사용한다면, verilog로 ... 대신, 이 회로를 개선하여 사용하지 못하는 입력신호에 새로운 기능을 넣어 탈바꿈하는 시도에서 생긴 것이 J-K플립플롭이다. 그 추가된 기능은 토글이다. ... 이러한 결점을 보완하기 위해 플립플롭이 존재하는데, 플립플롭은 E(또는 Clk)에 클락 신호를 인가할 때, 그 신호가 변화하는 시점에 데이터를 수정할 수 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 8. 래치와 플립플롭 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    실습목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2. ... 아날로그 및 디지털 회로 설계 실습-실습 8 예비보고서-래치와 플립플롭학과 :담당 교수님 :제출일 :조 :학번 / 이름 :8-1.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.06
  • 6주차-실험17 예비 - 쉬프트 레지스터
    정보를 일시 저장하고 정보를 일시 기억해 회로로 사용되며 멀티비트를 저장할 수 있는 플립플롭을 레지스터라 하는데, 이 레지스터의 기능은 정보를 저장할 뿐만 아니라 직렬입력을 병렬로, ... Preset을 동작시킨 후 CLR을 high로 하고 ring counter를 동작시켜라.⇒ 쉬프트 레지스터의 마지막 D플립플롭의 출력Q가 다시 첫 번째 플립플롭의 입력 SI로 들어가도록 ... 주기성이 나타날 때까지 실험하라.clockABCD↑1111↑0111↑0011↑0001↑0000⇒ ABCD플립플롭을 보면 각 Q출력이 다음 플립플롭의 입력으로 연결되어있어서 클락을 주면
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • [아날로그 및 디지털 회로 설계실습] 예비보고서8
    목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.2. ... 래치와 플립플롭실습날짜2021.11.08. 17시교과목 번호제출기한2021.11.07. 24시작성자제출날짜(이클래스)2021.11.07.1.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.09.14
  • 4장 각종 Latch와 Flip-Flop 결과
    D 플립플롭의 실험 회로를 구성하고 출력을 확인하여 다음의 표를 완성하라.☞ 브레드보드에 D플립플롭을 구현한 모습 (입력값 모두 ‘H’)[빨간선 ? ... 이번 실험을 계기로 확실히 각각의 래치와 플립플롭이 어떻게 작동하는지 알게 되었고, 특히 2번째 실험에서 클리어와 프리셋이 플립플롭에 어떤 영향을 미치는지 확실히 깨닫게 되었다. ... 같은데 이론자체가 상당히 어렵고 래치와 플립플롭의 종류도 다양해서 많이 헷갈리기 때문이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 아주대학교 논리회로실험 / 9번 실험 RAM 결과보고서
    앞선 실험들과 마찬가지로 RAM 또한 플립플롭 기능을 이용한다. ... 데이터를 쓰고 읽는 과정에서 저장 그리고 제거 등의 기능이 필요한데, RAM의 이러한 기능플립플롭에 근거한 것이라고 볼 수 있겠다.주어진 회로에서 OE는 데이터를 읽을 장소를 선택하는 ... In0는 A 출력의 플립플롭에만, In1은 B 출력의 플립플롭에만 입력된다. In에 0이 입력되면 해당하는 플립플롭은 의미가 없다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • D-latch,D-flip-flop,J-K-flip-flop 예비레포트
    결국 SR 플립플럽에 토글 기능을 합친 플립플럽니다. 입력 JK가 논리 입력 00,01,10은 RS 플립플럽과 같고, JK=11 일 때, Q는 반전된다.5. ... -d flip-flopClock enable D 플립플롭은 클럭 입력에 반응하여 출력의 상태를 바꾸는 기억 소자이다. ... 플립플롭과 래치 역시 게이트로 구성 되지만 조합논리회로와 달리 feedback이 존재한다.래치는 레벨 트리거에 의해서 동작한다. output은 clock이 켜져 있을 때 변하며 하나의
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.21
  • 예비보고서(3)-Shift_Register-시프트레지스터
    플립플롭들로도 구현될 수 있다). ... 관련이론레지스터(register)는 데이터 저장과 데이터 이동의 두 가지 기본 기능을 갖는 디지털 논리 회로이다. 레지스터의 저장 능력은 메모리 장치로 중요하게 사용된다. ... 플립플롭에 직류 전원이 유지되는 동안에는 이 데이터들은 플립플롭에 계속 저장된다.◁ 그림 24-비트(1010) 데이터가 레지스터로부터 직렬로 시프트되는 과정레지스터로부터 데이터를 출력하려면
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • 논리회로실험 순차회로 설계
    Flop, 레지스터에 대해 학습하고, 플립플롭 중 하나인 JK 플립플롭에 대해 심화적인 학습과 설계를 해본다. ... 종류로는 D, JK, T, RST, 마스터슬레이브 플립플롭 등이 존재하며 그림은 D FF이다.(3) 레지스터- 플립플롭 여러 개를 일렬로 배열하고 적당히 연결한 것을 말한다.- 여러 ... 또한 이번예비실험에서는 플립플롭과 래치에 대해 일부분의 회로밖에 설계하지 않았는데, 기회가 된다면 다른 순차회로도 설계해봐야겠다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    Q1과 Q2의 결과도 전 플립플롭의 1/2 주파수를 갖는 파형이 발생하는 것을 알 수 있다.위의 논리 회로는 주파수 분할 기능뿐만 아니라 2진 카운터로도 동작한다. ... 출력 결과를 Q1 플립플롭의 클럭 입력에 연결하고, Q1 플립플롭의결과를 Q2 플립플롭의 클럭 입력에 연결하여 각각이 2분주 되도록 회로가 구성되어 있다. ... 다음 그림은 이 J-K 플립플롭을 통한 주파수 분할에 대한 논리 회로를 나타낸 것이다. 3개의 J-K 플립플롭이 사용된 카운터로 3비트 2진 카운터라고 한다.Q0 플립플롭에서 발생한
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    즉, 이는 J-K플립플롭의 기능을 D플립플롭 기능으로 변형시킨다. ... 실험 목적 :1) 래치나 플립플롭의 단순한 기능을 넘어서 그보다 더 복잡한 기능이나 패턴을 가진 회로의 기능을 verilog로 구현하자.2) 만약에 FPGA보드를 사용한다면, verilog로 ... 그리고 각 플립플롭들이 하나의 클록에 동기화되어 있어 이 회로의 동작은 < D 플립플롭 기반 쉬프트 레지스터 >와 동일하다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대