• 통큰쿠폰이벤트-통합
  • 통합검색(5,409)
  • 리포트(4,765)
  • 자기소개서(281)
  • 시험자료(210)
  • 방송통신대(89)
  • 논문(41)
  • 서식(20)
  • 이력서(2)
  • ppt테마(1)

"회로망" 검색결과 81-100 / 5,409건

  • 과제3. 회로망 법칙
    테브닌의 정리 - 프랑스의 과학자 Thevernin - 복잡한 회로망을 간략화 하는데 사용 - 아무리 복잡한 회로라도 하나의 전압원과 그와 직렬로 연결된 저항으로 간략화 할 수 있다 ... 정리와 비슷하지만 전압 대신 전류를 써서 간략화 시킨다 . - 경우에 따라 전압원보다 전류원을 써서 간략화하는 것이 간편하다 . - 주어진 주파수에 따라 선형 전원과 임피던스의 회로망을 ... : 원래 회로와 똑같은 특성을 하나의 저항원으로 바꾸어 놓은 것 등가 회로 : 원래 회로와 다른 모양을 갖는 회로지만 같은 특성을 지닌 회로6 .
    리포트 | 13페이지 | 2,000원 | 등록일 2011.12.15
  • 망로전류를 이용한 회로해석 예비
    망로 전류를 이용한 회로해석#실험 목적: 선형회로의 의미에 대해 배우고, 망로 전류 방법으로 구해진 전류를 실험적으로 입증해본다. ... 이는 폐로 또는 망로 회로에 대해 전압 방정식을 세우고, 이 연립 방정식의 해를 구하는 것이다.망로 전류 방정식에 흐르는 전류를 구하고자 한다면 앞에서 배운 방법들이 사용될 수 있다 ... 선형회로를 구성, 해석하기 위한 기본적인 이론으로는 옴의 법칙, 키르히호프의 법칙, 중첩의 원리, 상반정리 등이 있다.망로 전류 방법직-병렬 회로는 옴의 법칙과 키르히호프 법칙으로
    리포트 | 2페이지 | 1,000원 | 등록일 2013.01.01
  • 망로전류를 이용한 회로해석 결과
    이미를 배우고 망로 전류 방법으로 구해진 전류를 실험적으로 입증해 보는 실험이었다.이 실험의 중요한 이론은 선형 회로와 망로 전류 방법인데 망로 전류의 방정식은 다음과 같이 설명 ... 만약, 저항기에 하나 이상의 전류가 구해지는 경우에는 이들의 대수합이 실제 전류가 된다.이 망로 전류 방정식은 전기 회로에서 회로에 가한 전압과 회로를 흐르는 전류가 단순한 비례관계 ... 망로 전류를 이용한 회로해석#실험 결과­ 망로 전류 계산에 대한 입증ResistorResistanceVoltage Drop Measured, VCurrent Calculated, mAMesh
    리포트 | 3페이지 | 1,000원 | 등록일 2013.01.01
  • 기초회로실험 20장 - 회로망 정리의 검증 예비결과
    회로망 해석시 자주 쓰이는 중첩의 정리, 테브낭-노튼의 정리, 밀만의 정리 및 상반정리 등을 실험을 통해 검증해 본다.◎ 관련 이론① 중첩의 정리- 회로망 내의 어느 한 부분을 흐르는 ... 중첩의 정리는 시변성, 시불변성에 관계없이 모든 선형 회로망에 적용된다. ... 회로망 정리의 검증전자 공학 전공 2 학년 조 200000000 이름 :◎ 실험 목표?
    리포트 | 4페이지 | 1,500원 | 등록일 2014.11.25
  • 회로망 프로젝트00.
    회로망 프로젝트001. ... 이용하여 구한 해□분석 및 토의 사항부하에서 걸리는 전압과 전체 부하를 제외한 회로에서 걸리는 전류의 크기가 같고 위상이 콘쥬게이트 상태일대 최대가 된다는 것을 회로망해석 강의시간을 ... 문제로만 풀어보던 것을 직접 SPICE를 이용하여 분석을 해보니 눈으로 확인할 수 있어 좋았고, 원리를 알게 된 것 같아 회로망 해석이나 그 이외의 과목을 배우는데 있어 흥미를 갖게
    리포트 | 24페이지 | 4,300원 | 등록일 2009.11.01
  • 인공신경회로망을 이용한 서해안 겨울철 수조류의 발생특성 유형화 (Patterning Waterbirds Occurrences at the Western Costal Area of the Korean Peninsula in Winter Using a Self-organizing Map)
    한국환경생물학회 박영석, 이후승, 남형규, 이기섭, 유정칠
    논문 | 9페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 울산대 결과전기 11장.망로 전류를 이용한 회로 해석
    결과 Report(회로11장)실험. 11장 : 망로 전류를 이용한 회로 해석5. ... 망로 전류법을 이용한 회로 해석." 실험에서 각 저항에서 측정한 전압의 값이 계산 값과 거의 유사함을 확인 할 수 있습니다. ... 선형 회로의 특성에 대해 설명 하시오.선형회로란 선형소자로만 구성된 회로를 말하는데, 회로 내에서 전압과 전류의 관계가 직선 관계로 표시 되어 집니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2015.09.06
  • 울산대 예비전기 11장.망로 전류를 이용한 회로 해석
    실험 목적선형 회로의 의미를 배우고 망로전류 회로 에서 구해진 전류를 가지고 망로해석을 실험적으로 입증한다. ... 이론직-병렬 회로는 옴의 법칙과 키르히호프 전압 및 전류 법칙을 사용하여 해석 되며, 그러나 회로가 하나 이상의 전압원과 두 개 이상일 경우 시간이많이 소요되기 때문에 망로전류 방법은 ... 예비문제1).선형 외로 소자저항기 또는 다른 형태의 저항성 소자들로만 구성된 회로를 선형회로라 하며 소자의 전압과 전류특성이 옵의법칙에 따르는 소자를 선형 소자라고 한다.2)망로 전류
    리포트 | 1페이지 | 1,000원 | 등록일 2015.09.06
  • [기초회로실험]20.회로망정리의검증
    실험제목 : 회로망 정리의 검증2. 실험목적- 회로망 해석시 자주 쓰이는 중첩의 정리, 테브낭-노튼의 정리, 밀만의 정리 및 상반정 리 들을 실험을 통해 검증해 본다.3. ... 중첩의 정리- 회로망 내의 어느 한 부분을 흐르는 전류나 어느 소자양단의 전위차를 구해야 할 경우 와 같이 부분적인 해석이 요구되거나 특히 한 회로망 내에 포함되는 전원의 주파수가 ... 테브낭의 정리- 회로망의 부분 해석시 중첩의 정리 외에 자주 이용되는 것으로서 테브낭의 정리와 노 튼의 정리가 있다.이들 정리는 해석이 요구되는 부분을 제외한 회로망의 나머지 부분이
    리포트 | 8페이지 | 1,500원 | 등록일 2011.04.17
  • 인공신경회로망
    학습규칙 신경회로망 구조 및 종류 신경회로망의 응용1. ... 인공신경회로망 Artifical Neural Networks전기공학전공 4학년 3조 방호석 (20025128) 정동욱 (20035359)목 차신경회로망의 이해 신경회로망의 특성 학습 ... 신경회로망의 이해신경망의 구조1.
    리포트 | 17페이지 | 1,500원 | 등록일 2008.11.14
  • 인공신경회로망
    [인공신경회로망]1.신경회로망의 이해1)신경망의 정의-신경회로망의 기본이론은 사람의 신경계로부터 유래한 것(인간의 두뇌처럼 사고하고 연산하는 것을 의미)-컴퓨터를 생각하는 기계로 만들 ... 일반적인 모델로서 나타낸 것이 각 계층 내에서 각 각의 모듈이 서로 상호 결합된 계층적인 병렬 분산 처리 시스템이 타당할 것)이 외에도 일반화(학습이 완료된 신경회로망은 학습되지 ... 수정-명확한 출력을 필요로 하는 제어분야에서 사용하기는 어려움②지도학습(감독학습)-출력이 원하는 응답과 비교되어 오차를 발생-가중치는 출력과 원하는 입력이 같아지도록 수정을 반복-인공신경회로망에서는
    리포트 | 6페이지 | 2,000원 | 등록일 2008.10.30
  • 회로망정리의 검증
    예 비 Report주제회로망 정리의 검증학과전자공학과학번200731878이름박종웅1. ... 의미한다.회로망 정리중첩의 원리이원리가 적용되는 회로를 선형회로라 한다.이원리는 "2개이상의 전원을 포함한 회로에서 어떤점의 전위 또는 전류는, 각 전원이 단독으로 존제 한다고 했을 ... 단자 a,b에서 회로측을 향한 임피던스값과 같다.노튼의 정리컨덕턴스 G를 구하기 위한 등가회로를 만든다.2)태브낭의 정리회로망의 모든 가지의 전류와 전압은 키르히호프의 법칙으로 구할
    리포트 | 5페이지 | 1,000원 | 등록일 2008.10.28
  • 망로 전류를 이용한 회로해석 예비 결과, 기초전기회로실험(한국맥그로힐)(정학기)(ZBAR)
    - 옴의법칙에 따르는 소자를 선형소자라하며, 저항기 또는 다른형태의저항성 소자들로만 구성된 회로를 선형회로라 한다.2.3 망로(폐로) 전류 방정식- 1) 폐경로를 확인한다.2) 키르히호프 ... ..PAGE:1- 망로 전류를 이용한 회로해석 -..PAGE:2category1. 조원 소개2. 실험목적3. 실험 준비물5. 실험 과정6. 계산 결과 및 측정표4. ... 실험 고찰..PAGE:32.실험 목적2) 망로 전류 방법으로 구해진 전류를 실험적으로입증한다.선형회로의 의미를 배운다...PAGE:43.실험 준비물(3) 저항기 (½-W,5%)100Ω
    리포트 | 15페이지 | 1,500원 | 등록일 2015.10.08
  • 회로망해석
    (그림1)설계)우선 이 회로에 대해서 파악을 하고 설계를 시작하겠다. 이 회로는 우리가 수업시간에 이론적으로 배워서 익숙한 Series RLC 회로이다. ... 3)는 면적을 따로 구해줘야 한다.첫 번째 회로(Stage 1)와 두 번째 회로(Stage 2)의 면적을,세 번째 회로(Stage 3)의 면적을,의당 면적을,의당 면적을라 하면,첫 ... 회로에서 Lowpass Filter(Stage 1)와 Highpass Filter(Stage 2)로 구현된 회로는 설정된값에 의한 최소 면적을 구하면 되지만, 끝에 연결된 회로(Stage
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.19
  • 회로망해석 프로젝트00
    회로망해석 프로젝트001. ... 및 페이저회로? ... RC회로와 LC회로의 차이점- 고주파에선 RC회로는 C부분은 short 로 인식하고 RL회로는 L부분이 open된것으로 인식- 저주파에선 C부분이 끊어진 것으로 인식하고 L부분을 그냥
    리포트 | 18페이지 | 4,300원 | 등록일 2009.11.01
  • 회로망정리의검증
    ◎ 능동회로망의 임의의 두 단자에 대해서 이것을 등가적으로 하나의 전압전원에 하나의 임피던스가 직렬접속된 것으로 대치할 수 있으며, 등가전압원값은 두 단자의 개방전압값, 등가임피던스값은 ... 특성상 전류 I는 동일하기 때문에 R에서의 전류와 Z전체에 흐르는 전류는 동일할 수밖에 없다.(4) 노튼의정리◎ 능동회로망의 임의의 두 단자의 외측에 대해 등가적으로 하나의 전류전우너과 ... 1.042.1881.751.9553520.1719.05-14.26-13.0240.038.840.11.661.03-0.969-1.112.02.01.98◎ 중첩의 정리 : 다수의 전원을 포함하는 선형 회로망
    리포트 | 6페이지 | 1,500원 | 등록일 2008.08.20
  • [고려대 전기회로실험1 결과리포트]21. 최대 전력전송, 22. 망로 전류를 이용한 회로해석, 23. 평형 브리지 회로 결과리포트
    망로 전류를 이용한 회로해석학번성명실험실실험조실험일시16.04.141. 실험목적- Mesh-Current Method(망로 전류)를 통한 회로의 해석방법을 익힌다.2. ... 따라서 망로전류 방법의 타당성을 입증할 수 있다.오차 발생 원인으로는 저항기 및 멀티미터의 고유 오차가 있다.23. 평형 브리지 회로학번성명실험실실험조실험일시16.04.141. ... 결과 및 토의본 실험은 망로전류 방법으로 계산한 전류를 실험적으로 입증하기 위한 실험이다.먼저 망로전류 방법을 이용해 각각의 망로전류I _{1} ,`I _{2} ,`I _{3}를 계산한다
    리포트 | 5페이지 | 1,000원 | 등록일 2016.09.22
  • 기초회로실험) 결과 최대전력전송 및 망로전류를 이용한 회로해석
    망로(網路)전류를 이용한 회로해석제목 : 실험 21. ... 이를 통해 망로에서 흐르는 전류의 값도 측정하여 이론적인 부분을 이해한다.① 망로전류 계산에 대한 입증( 실제 측정 값 )[ 표 ] Pspice : 망로전류 계산에 대한 입증 ( 실제 ... 측정 값 )Ir2 = I1 - I2 = 41.78 mAIr4 = I2 - I3 = 10.625 mA② 망로전류 Pspice 구현[ 그림 ] Pspice 실험 과정 회로 구현ResistorResistanceVoltage
    리포트 | 13페이지 | 2,000원 | 등록일 2014.01.06
  • 22장 망로전류를 이용한 회로해석 예비+결과
    저항기 ) 망로 전류 방법 계산과정의 복잡한 식과 시간소요의 단점을 제거될 수 있도록 키르히호프 전압법칙을 사용하고 폐로 또는 망로 회로에 대해 전압 방정식을 세우고 해를 구한다 . ... 망로 전류 방정식 1. 회로에서 폐경로를 확인한다 . 2. 모든 저항기와 전압원을 포함하는 최소의 경로를 택한다 . 3. ... 망로 ( 網路 ) 전류를 이용한 회로해석 1 01 02 03 04 실험 목적 이론적 배경 실험 준비물 실험 과정 목 차 2 한국교통대학교 05 예비 점검 01 실험목적 3 01 실험목적
    리포트 | 29페이지 | 1,000원 | 등록일 2014.07.11
  • 기초회로실험) 예비 최대전력전송 및 망로전류를 이용한 회로해석
    망로(網路)전류를 이용한 회로해석1. 실험 목적- 선형회로의 의미를 배운다.- 망로 전류 방법으로 구해진 전류를 실험적으로 입증한다.2. ... 망로(網路)전류를 이용한 회로해석제목 : 실험 21. 최대전력전송1. ... 망로 전류 방법을 사용할 때 계산과정에서 많은 복잡함을 덜기 위하여 이러한 법칙을 사용한다.3 ) 망로 전류 방정식- 망로 전류의 방정식은 하나의 회로에서 각 Element에 걸리는
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:12 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대