• 통큰쿠폰이벤트-통합
  • 통합검색(8,538)
  • 리포트(7,801)
  • 시험자료(491)
  • 방송통신대(133)
  • 논문(44)
  • 자기소개서(43)
  • 서식(15)
  • ppt테마(8)
  • 이력서(2)
  • 노하우(1)

"16 bit" 검색결과 81-100 / 8,538건

  • [전자전기실험]전자전기실험(16bit 마이크로프로세서) 추가적인 산술명령어 예비리포트
    배 정밀이란 여러 개의 바이트가 모여서 하나의 수를 표현하는 것으로 여기서는 32비트(4바이트)를 다룬다.AX 레지스터가 상위 16비트, BX 레지스터가 하위 16비트를 나타내는 것으로 ... 이때 이 두 개의 숫자를 더하는 방법을 생각하면, 우선 하위 16비트 씩 BX+DX를 계산하고 결과를 BX 레지스터에 기억한다. ... 이때에 만일 자리 올림이 생기면 그것 을 기억해둔다.다음에 상위 16비트씩 AX+CX를 계산하고 결과를 AX 레지스터에 기억한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.09
  • Basic computer
    하였다.IR - 제어신호 : LDIR의 경우에도 16bit 크기지만, 위에서 설계한 것과는 다르게 제어신호가 LD뿐이다. ... 레지스터의 설계1) 16Bit 레지스터AC - 제어신호: LD, INR, CLR, Clock- LD 신호에 의해 클럭의 rising edge에서 0002가 로드 되고 다음 클럭에서 ... CLR신호의 우선순위는 INR신호보다 높다.DR, TR의 경우 AC와 기본적인 기능 및 16bit로 크기가 같아 위의 코딩에서 AC를 각각 DR, TR로 바꾸어 주어 설계 및 검증
    리포트 | 33페이지 | 5,000원 | 등록일 2020.12.16
  • 건국대학교 마이크로프로세서응용 Lab2 A+
    데이터 Hexadecimal Disp.P9 소스 코드 :#include #include // 8비트 데이터를 16진수로 변환하여 출력하는 함수void HexDisp(uint8_t ... ch) {const char hex_table[] = "0123456789ABCDEF"; // 16진수 문자표putchar(hex_table[ch >> 4]); // 상위 4비트 출력putchar ... bit (2 byte)ldi ZL, LOW (2*ConstantData) ; we have to multiply 2 at the starting indexldi XH, HIGH(MemoryBank1
    리포트 | 11페이지 | 5,000원 | 등록일 2024.04.11 | 수정일 2024.04.22
  • 디지털시스템설계실습_HW_WEEK8
    .16x8bit Register file 은 4bit의 address, 8bit의 data크기, 16개의 저장공간을 갖고 있는 구조였고, 이는 wr_enable이 활성화 되었을 때, ... .• Discussion이번 과제는 16x8bit Register file, Shift Register, Shift Register with Parallel Load를 구현해보는 시간이었다
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 베릴로그 계산기 구현
    15:0] sum; output c_out;output reg overflow; //output이 16비트를 넘어서는 경우에 출력하는 overflow 선언wire c4,c5,c6 ; ... module add16(a,b,c_in, sum, c_out,overflow); //16bit adder 모듈 선언input [15:0] a,b;input c_in;output [ ... // 4bit adder에서 쓰인 wire와 똑같은 역할add4 u7(a[3:0], b[3:0], c_in, sum[3:0], c4); /16bit를 4bit로 4등분하여 4bit
    리포트 | 20페이지 | 2,500원 | 등록일 2022.06.05
  • 성균관대학교 디지털집적회로설계 cad과제 4
    계산한 worst case 보다 약간 작은 값이 나왔다. 16 bit가 각각 독립적으로 계산되지 않고 서로 종속적으로 계산되기 때문에 완벽하게 모든 cell을 worst로 만들 수 ... Cin=1로 설정하면 첫 번째 4bit CSA cell의 첫 carry cell의 delay가 최대가 될 것이다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.31
  • 컴퓨터구조 CPU설계 보고서
    ~16bit로 이루어졌으나 이번에 내준 과제 Quartus를 이용하여 ‘Control logic gates‘를 설계하기 위해 74163 소자를 이용하여 존재하는 레지스터를 4bit로 ... 메모리 장치는 4096워드로 구성되어 있으며, 각 워드는 16비트이다. ... 즉 피 연산자의 주소를 위해 12비트가 필요하고 3비트는 명령어 코드를 나타내며, 나머지 1비트가 직접 주소, 간접 주소를 구별하는 데 사용된다.레지스터 명비트 수이름기능DR16데이터
    리포트 | 15페이지 | 3,000원 | 등록일 2020.01.01
  • 서강대학교 디지털논리회로실험 레포트 5주차
    이전 장에서 배운 exclusive-OR의 동작은 1-bit comparator로 해석할 수 있다.그림 1은 4-bit 이진수를 비교할 수 있는 comparator이다. ... 16. ... 이 comparator를 반복적으로 연결하면 기본 bit수 보다 확장된 수를 비교할 수 있는 comparator를 구성할 수 있는데, 그 개념을 도시한 것이 그림2이다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • [공간정보의 이해와 응용] QGIS 사용 및 후기
    QGIS 설치현재 윈도우 10 64비트 운영체제를 사용하고 있어 QGIS 3.16 윈도우용 64비트를 다운받는다. https://qgis.org/ko/site/forusers/download.html3
    리포트 | 8페이지 | 3,000원 | 등록일 2022.06.30
  • 정실, 정보통신기초설계실습2 9주차 결과보고서 인하대
    진리표와 결과가 완전히 일치한다.4-bit full adder4비트 full adder의 구조는 간단하게 이해하자면 full adder를 4개사용하여 각 자리수마다 가산을 진행하는 ... 위에서부터 X, Y, B0, D, B순서이고 결과는 진리표와 동일하다.4-bit Full subtractor4비트 full adder와 마찬가지로 4개의 full subtractor를 ... 따라서 자리올림수 1이 Cout으로 출력되고 결과 S는 30에서 5번째 자리로 올라가는 자리올림수 값인 16을 뺀 14(1110)가 출력 된 것을 확인할 수 있다.Verilog 과제Full
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... 이후 PMOS의 폭을 P로 설정하고 기본값을 16으로 설정했다. ... P1의 예상 최적값을 16으로 설정하고 범위를 8~32사이로 두었다. 그리고 최적화된 값을 찾기 위해 200번의 반복을 수행하도록 했다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 인하대 컴퓨터구조론 과제3 mips multicycle verilog
    Memory의 출력을 destination register에 쓰는 동작도 이루어진다.⑵ TestBenchⅰ) Mulicycle_MIPS 코드의 명령어들을 다음과 같이 분석하였다. 16진수의 ... Immediate field인 65531을 sign extension 하고 shift left 2bit 하면 -20pdated PC = (PC+4)+SignExt(imm)x4이 되고 ... 명령어들을 2진수로 변환 후, bit 수 별로 구분하여 Opcode, rs, rt, rd, sa, function code, immediate를 구하였다.명령어OPrsrtrdsafunctimmh8c03000035
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.01
  • [atmega128]마이크로프로세서 8비트타이머카운터
    -TIMSK(Timer/Counter Interrupt Mask Register)비트5~0 : 16비트 타이머/카운터에서 사용하기 때문에 생략-TIFR(Timer/Counter Interrupt ... ATmega128 8bit Timer/Counter 관련 이론-타이머/카운터 내부 구성도a.타이머/카운터0b.타이머/카운터2-클럭 선택 논리부클럭의 선택은 타이머/카운터 제어 레지스터 ... N은 프리스케일러 분주비 분자는 ATmega128의 내부 자체 클럭인 16MHz이며 256은 8비트의 범위 0~255에서 카운트 하기 때문에 256을 나눠준다.2)CTC(Clear
    리포트 | 11페이지 | 1,500원 | 등록일 2020.01.03 | 수정일 2021.02.22
  • 디집적, 디지털집적회로설계 실습과제 12주차 인하대
    bit를 모두 bit 덧셈 연산하여 Sum에 출력하며 자리 올림 carry가 발생한 경우 cout에 1이 출력된다.Simulation 결과 Adder가 정상 작동하는 것을 확인할 ... 원점을 기준으로 하기 때문에(urx, ury)가 CMOS Full Adder의 size를 나타낸다.Micron 단위로 측정된 CMOS Full Adder의 size는 가로 16.62 ... 앞서 코드에서 살펴보았듯이 1bit input 시퀀스 00 01 10 11에 대해 각각 Cin이 입력되므로 총 8번의 Full case 검증을 진행했다.A, B, Cin으로 입력되는
    리포트 | 17페이지 | 1,500원 | 등록일 2021.08.31
  • Artmeaga 128 소개 및 주요 기능
    타이머/카운트1은 16비트 구조로 타이머/카운터3과 기능은 같다.USART(컨베이어벨트 시스템) 제어 기능: 같은 기능을 가지는 USART0, USART1 통신 관련 회로를 가짐.AVD ... 일시:이름:학번:Atmega 128, 핀고성능이면서 저전력의 8비트 마이크로 컨트롤러로 총 64핀으로 구성되었으며, 핀 배치는 < 사진 1-Atmega 128 핀 배치 > 와 같다. ... 먼저 수행 후 원래 상태로 복귀 작업)구성은 외/내부 장치의 서비스 요청에 MCU가 가장 빠르게 대응함.타이머/카운터 기능: 4개의 타이머/카운터로 구성되며, 타이머/카운터0은 8비트
    리포트 | 2페이지 | 1,000원 | 등록일 2020.12.17
  • FPGA 프로젝트 보고서 (MPU설계) (Digital Systems Design Using Verilog)
    기본적인 opcode는 16bit이며, operand A의 주소, operand B의 주소, 연산코드, 연산결과가 저장될 주소, ram register의 저장 여부로 구성되어 있다.기본적인 ... ADD의 경우 8BIT 범위를 넘어가는 결과값이 나올 경우에 발생하고 SUBTRACT의 경우 OPERANDA 의 값보다 OPERANDB의 값이 더 큰 경우에 발생한다. ... Write data와 write addr Aaddr Baddr Write enable은 control block의 출력인 opcode를 정해둔 규칙의 bit수대로 할당하여 모듈에 인가한다
    리포트 | 37페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    ]);or or5(e,W1,W2);and and14(W1,~X[1],~X[0]);or or6(f,X[3],X[2],W1);and and15(W1,X[2],~X[1]);and and16 ... 라인 디코더를 이용하여 4bit 입력과 7bit 출력 2개를 갖는 디코더를 설계한다. 7bit 출력 한 개는 일의 자리를 표현하고 나머지 7bit 출력은 10의 자리를 표현한다. ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit BCD code
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    Full Adder (16)Ⅲ. 결론 (18)Ⅳ. 참고문헌 (19)TTL Gates Lab on Breadboardpost-lab reportⅠ. 서론1. ... XOR 게이트는 1bit의 입력 두 개를 받아 출력으로서 배타적 논리합을 구현하며 진리표는 [표 2]와 같음을 확인하였고, 실제로 XOR 게이트 IC(7486)를 이용한 실습 회로를 ... 구성하여 LED를 통해 출력값을 나타낸 결과도 진리표와 일치함을 보였다.1bit의 입력 두 개를 받아 이것을 더한 결과를 얻고자 고안된 반가산기는 [사진 10]과 같이 OR 게이트와
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • u Processor 설계
    시프트 레지스터는 비트들을 왼쪽 또는 오른쪽으로 이동시키는 기능을 수행하는 레지스터이고, 보수기는 이진 데이터의 보수를 취하는 회로이다. ... 코드 및 설명 (4x1 , 2x1 MUX)출력 결과u_Processor 결과--------------------------------------------p.16고찰 --------
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 아날로그 이미지를 디지털화 할 때 알아야 할 개념인 샘플링 비율, 샘플 데이터의 크기가 무엇을 의미하는지 설명하고 샘플링 비율, 샘플 ~
    이를 위해서는 더 많은 비트를 사용하는 것이 필수적이며, 일반적으로 16비트 또는 그 이상의 컬러 깊이를 사용한다. ... 예를 들어, 8비트 샘플 데이터는 256가지 색상을 표현할 수 있지만, 16비트 샘플 데이터는 65,536가지 색상을 표현할 수 있다. ... 예를 들어, 8비트 샘플 데이터는 256가지 색상을 표현할 수 있지만, 16비트 샘플 데이터는 65,536가지 색상을 표현할 수 있다.
    방송통신대 | 5페이지 | 2,000원 | 등록일 2024.06.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:13 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대