• 통큰쿠폰이벤트-통합
  • 통합검색(21,013)
  • 리포트(19,155)
  • 시험자료(1,078)
  • 방송통신대(396)
  • 자기소개서(216)
  • 논문(83)
  • ppt테마(37)
  • 서식(34)
  • 노하우(8)
  • 이력서(5)
  • 전문자료(1)

"4bit" 검색결과 81-100 / 21,013건

  • 디지털논리회로verilog(full adder, 4bit full adder, comparator, 4bit comparator)
    이때 4bit의 더하려는 두수를 X(x3x2x1x0)Y(y3y2y1y0)라 하고 결과를 S(Couts3s2s1s0;4bit의 두 수를 더하면 5bit까지 나올 수 있다.) ... 디지털 논리 회로 verilog 과제학과학년학번이름이번 과제는 verilog 프로그램을 통해서 full adder, 4bit full adder, comparator, 4bit comparator을 ... full adder4bit full adder은 4개의 full adder를 이용하여 구형할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.01.06
  • 4비트에더기
    그래프와 사진자료입니다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.13
  • [논리회로설계실험]1bit 비교기 와 4bit 비교기
    4bit 비교기① 두 입력이 같으면 EQ='1' , 나머지는 '0' 을 출력.② A값이 B값보다 크면 AGB='1' , 나머지는 '0' 을 출력.③ A값이 B값보다 작으면 ALB= ... library ieee; use ieee.std_logic_1164.all; entity tb_comp_1bit is end tb_comp_1bit; ... architecture tb_comp_1bit_arc of tb_comp_1bit is signal input_a : std_logic; -- 테스트에 이용
    리포트 | 5페이지 | 1,000원 | 등록일 2015.07.07
  • 4비트 병렬 가감산기, BCD 가산기
    특히 4비트 병렬가감산기의 경우 symbol이 없었다면 정말 상상도 하기 싫은 회로가 될 것 같습니다. ... 디지털 논리회로1. 4bit parallel-adder/subtracter2. BCD adder서론학습목표? 반가산기, 전가산기의 동작을 이해하고 설계하는 방법을 알아본다.? ... 병렬가감산기전가산기들을 병렬로 연결하여 여러 비트의 가산기를 만들 수 있으며 이것을 병렬가산기라 한다.
    리포트 | 7페이지 | 2,500원 | 등록일 2015.12.10
  • 4비트 카운터 설계
    use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity cnt_4 ... architecture behave of cnt_4 issignal cnt : std_logic_vector (3 downto 0):="0000";beginu0: process(clk ... =>out2, clk_out=>clk3);cnt3 : cnt_4 port map(clk=>clk3, rst=>rst, cnt_out=>out3);end structure;======
    리포트 | 4페이지 | 2,000원 | 등록일 2011.04.03 | 수정일 2016.08.22
  • 4비트 가감산기 설계 보고서
    < 설계 > 4-bit Adder/Subtractor Unit▶ 문제 정의를 위한 명세 및 설계 범위4비트 가감산기를 만들기 위해 4개의 fulladder에 각 각 4개의 A, B ... 이번에서는 4비트 가/감산기를 설계했지만, 다음에 설계를 하고자 한다면 4비트보다 많게, 혹은 가/감산만이 아니라 곱셈/나눗셈까지 추가된 회로를 설계할 수 있을 것이다. ... fulladder를 이용하여 4비트 가/감산기를 설계해보았다. 이 프로젝트를 함으로써 제어신호에 따른 가/감산 출력 값을 시뮬레이션을 통해 확인하고 학습할 수 있었다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.05.19
  • VHDL 4bit-fulladder소스,시뮬레이션,설명
    ARCHITECTURE2.ENTITY X, Y, S 는 4bit 이므로 bit 의 범위 4bit 로 지정해주어 야 한다 . z, c 는 1bit 이므로 지정하지 않아도 된다 . x, ... 4- BIT FULL ADDER VHDLcontents1bit-Fulladder source 1bit_fulladder Design name = fadder x, y,z = input ... ARCHITECTURE 1bit Fulladder 4 개를 연결해야 함으로 연결선이 있어야 한다 .3.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.12.18
  • verilog 4bit alu
    컴퓨터 응용설계4bit ALU○문제 정의를 위한 명세(specification) 및 설계 범위4bit의 8가지 산술과 4가지 논리 연산을하는 ALU.-> A,B 4bit를 각각 입력받고 ... 2bit에따라 4개중 하나의 연산의 종류가 결정된다. ... S1,S2,Cin을 입력받는다.M이 1이라면 산술연산을 하는데 S1,S2,Cin의 3bit에따라 8개 중 하나의 연산의 종류가 결정되고 M이 0이라면 논리연산을 하는데 S1,S2의
    리포트 | 5페이지 | 1,000원 | 등록일 2009.12.23
  • 4bit 가감산 계산기 설계
    여기서 C 레지스터는 연산결과의 최상위 비트를 차지하고 A 레지스터는 나머지 4bit를 갖는 다. SIR이 1일 때는 SB를 SA에서 빼고 그 결과를 C와 A 레지스터에 넣는다. ... 스위치인 SIR이 0 일 때는 4bit SA와 4bit SB를 합해서 5bit의 답을 1bit C 레지스터와 4bit A레지스터에 넣으라는 것이다. ... Report- 4bit 계산기 설계 -과 목: 컴퓨터 구조교 수 명:학 과: 전자공학과학 번:이 름:제 출 일: 13. 05. 09 (목)소요시간: 7시간● 계산기 설계4bit 스위치인
    리포트 | 8페이지 | 2,000원 | 등록일 2013.05.28
  • 4b5b변환 인코더 디코더(4bit이진 파일을 5비트 변환표를 통해 변환하고, 다시 디코딩함(msb-lsb))
    4B5B변환표를 통한 이진파일 1kb를 1.2kb로 변환하는 인코더와, 다시 1.2kb를 1kb로 변환표 역순진행을 통하여 디코더로 변환하는 표
    리포트 | 1,500원 | 등록일 2017.05.05 | 수정일 2017.05.08
  • VHDL 4Bit Adder 16Bit Adder
    보 고 서VHDL과 목 명:학 과:학 번:이 름:제 출 일:담당교수:4Bit AdderLIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY Bit4_ ... _cla ;ARCHITECTURE ADDER4 OF Bit4_cla ISSIGNAL H_SUM : STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL G : STD_LOGIC_VECTOR ... std_logic_vector(3 downto 0);cin : in std_logic;cout : out std_logic;sum : out std_logic_vector(3 downto 0));END Bit4
    리포트 | 4페이지 | 1,000원 | 등록일 2010.04.30
  • XOR를 활용한 4bit_가감산기
    - 4bit Adder 설계1. source_half adder2. source_Full adder3. 4bit 가감산기4. ... Report< Enable 단자를 이용한 4bit 가감산기 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 10월 20일학 번 : 200711061이 름 :김성현Verilog ... 설계 해석지금까지 배운 half_adder와 Full_adder를 이용하여 4bit 가감산기를 만들었습니다.가감산기에 Enable단자와 입력A를 XOR를 이용하여 넣어줌으로써,Enable단자의
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 성균관대 논리회로 설계실험 VHDL을 이용한 4bit Full adder 입니다.
    1)4bit Full_adder의 schematic을 그리시오. a=”0101” , b=”1001”, c_in = ‘0’ 에 대해서 각각의 bit에서의 s와 most bit 에서의 ... 각 bit 단위에서의 full_adder module port설정이 들어가야 합니다.3.‘tb_4full’ 이라는 testbench를 만드시오. ... s_0=’0’, s_1=’1’, s_2=’1’, s_3=’1’2)주어진 code를 활용하여 4bit Full_adder를 구현하시오. 1.Full_adder 코드는 그대로 사용합니다
    리포트 | 2페이지 | 1,000원 | 등록일 2017.05.23
  • 4Bit, 16bit CLA Adder
    4Bit CLA Adder Source Codelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity CLA_4bit is Port ( a : in ... pout=>p(0),gout=>g(0),sum=>sum(3 downto 0)); adder4_7: component CLA_4bit port map(a=>a(7 ... _11: component CLA_4bit port map(a=>a(11 downto 8), b=>b(11 downto 8), cin=>carry8, pout=>p(2)
    리포트 | 6페이지 | 4,000원 | 등록일 2009.03.09 | 수정일 2015.06.30
  • 디지털실험 설계2 결과 4비트 가(감)산기
    디지털 실험 결과보고서설계 2. 4비트 가(감)산기다음의 회로도대로 회로를 결선하고 e입력에 따라 가산 감산이 되는지 실험한다.위 4비트 회로의 한 부분이다. 1비트 연산을 하게 해주는 ... 부분이고 같은 것을 4개 만들어 캐리로 올라가는 것을 다음 회로의 입력 캐리로 받는 식으로 연결하면 4비트 연산이 된다. ... 이번 실험에서 입력 z는 필요 없고 가장 낮은 비트(4비트 회로에서 제일 오른쪽 부분)부분은 반가산기로 설계해도 되지만 같은 소자를 이어 붙이는 식으로 설계하는 것으로 연산을 할 수
    리포트 | 3페이지 | 1,000원 | 등록일 2014.09.30
  • 4비트 전감가산기 설계결과보고서
    (A3A2A1A0+B3B2B1B0→C4S3S2S1S0)※ 1Bit 전가산기(FA)3) 4비트 전감산기- 일반적으로 뺄셈은 보수의 덧셈으로 변환하여 수행할 수 있다. ... 아래그림은 4비트 가산기의 회로도이다. ... 간단한 이론 분석1) 4비트 전가산기 설계- 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • Verilog 4bit ALU Design (4비트 ALU설계)
    shift left● 입출력 단자이름방향비트수기능rst_nIn1Reset (Active Low)clkIn1Clock (Rising Edge에 동기)opcodeIn8제어신호aIn4연산자 ... .◇ 단자 opcode의 8bit는 다음과 같은 제어신호로 사용된다.- 2~0bit : 연산자의 연산 종류를 선택- 3bit : Multiplxer의 입력 선택- 5~4bit : Shift ... 동작 모드 선택- 6bit : Register ra의 Enable 신호- 7bit : Register rb의 Enable 신호◇ Register Module은 4bit Register를
    리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • [실습보고서] 4비트 버스제어기 설계
    제목4-비트 레지스터를 4개 포함하여 4비트 버스제어기를 설계(3-state-Buffer / Multiplexer를 선택하여 사용)2. ... 3주차 실습보고서OrCAD를 이용하여 4비트 버스제어기 설계1. ... 내용OrCAD를 이용하여 4비트 버스제어기를 설계하는 것인데 74153인 멀티플렉서를 이용하여 4비트 버스제어기를 설계하였다.버스 라인을 이용하여 설계하여도 되지만 자꾸 오류가 나서
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.22
  • [VerilogHDL] 4bit 2진 덧셈기 설계(vfd 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 ... adder4_bcd(dataa, datab, bcd1a, bcd1b, bcd1s,bcd10a, bcd10b, bcd10s);input [3:0] dataa, datab;output ... 한편 dotmatrix블록에서는 "2 Digit Decimal Adder (김정수)“라는 문자열이 우에서 좌로 이동하면서 디스플레이된다.▪ adder4_bcd 블록의 논리회로 표현module
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • [A0받은자료] 텀프로젝트 2개의 4bit 입력 계산기 설계
    제목 및 목적 1) 제목 계산기설계. 2) 목적 2개의 4bit 입력에 대한 덧셈, 뺄셈, 곱셈이 가능한 계산기 설계를 목적으로 한다.2. ... 결론적으로 1번째 입력은 A0,A1,A2,A3에 저장이되고 2번째 입력은 B0,B1,B2,B3,B4(0) 에 저장이된다. (2번째 입력값을 B4까지 출력하는 이유는 뺄셈할 때 2의보수화를
    리포트 | 8페이지 | 1,500원 | 등록일 2017.06.23 | 수정일 2018.07.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대