• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(127)
  • 리포트(126)
  • 시험자료(1)

"7세그먼트 디코더 보고서" 검색결과 81-100 / 127건

  • 디지털공학 실험 디지털시계보고서
    과 목 명디지털 실험담당교수결과보고서[9.디지털 시계]학과 :학번 :이름 :【1. ... 그림 9.1에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 설계하는 디코더의 기능은 2진수를 입력받아 BCD로 변환하여 출력하는 디코더이며, 설계할 수 있을 것이다.그림 9.4에 나타낸 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • 전자회로실험설계-선물상자
    (RoHS)HD74LS47P비씨디7세그먼트디코더1500500LED5MM-RED/DBL-B5134원형LED적색1540600LED5MM-YELLOW/DKI-53N52D-3001-BP원형LED노란색1540600SI5312 ... 목표대비 완성도완성도조사80%보고서100%적외선 센서90%LED90%세그먼트85%멜로디87%최종 완성88.67%3. 개발 비용에 대한 분석표 2. ... 2010학년도 3학년 1학기 “전자회로실험” 설계 프로젝트 최종보고서1내마음을 받아줘Would you accept my heart?
    리포트 | 5페이지 | 3,000원 | 등록일 2013.11.01
  • 디지털실험 10 예비 4-Phase clock
    디지털 실험 예비보고서실험 10. 4-Phase clock실험 목적1. ... 앞서 익힌 위상 발생기를 응용하여 CLK를 임의로 주었을 때 특정 빛을 발하는 회로를 구성하라특정 빛을 발한다는 말이 무었인지는 잘 모르겠지만, 지난 설계에 이용했던 7세그먼트 표시기를 ... 디코더에 입력되어 파형을 만들기 위해 만들어졌다.Q _{A}=Q1(첫번째ff에서 나온 결과)+=Q2`Q1`+Q2Q1이고 Q1`은 이것의 보수이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.09.30
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment실험목표① ... 출력의 oS_COM은 각 segment 모듈을 켜거나 끄는 기능을 하고, Os_ENS는 각 7세그먼트의 획(LED)이다. ... 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계② BCD 입력을 7-segment로 출력하는 디지털 회로 설계③ Clock을 이용하여
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • 디코더를 이용한 조합논리
    이것은 4비트 입력을 7세그먼트 디스플레이에서 사용하는 특정 코드로 변환한다. 2진 디코더는 입력 변수의 매 조합에 대해 특정 출력을 능동시키는 최소항(minterm) 발생기 기능을 ... 보고서에 주어진 그림 12-8의 타이밍도를 그린다.State CodeState OutputsLight OutputsS1S*************011011101011111101011110101110011101 ... 진리표 12-1에서 열거된 순서대로 스위치를 열고 닫는다면, 정확한 신호등 순서를 관찰할 수 있다.3) 아직까지 카운터에 대해 익숙하지 않지만, 그림 12-7에 주어지는 그레이 코드
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • [전기전자기초실험]8장 - 조합 회로 설계 실험 [예비&결과]
    하지만 이번 결과보고서를 통해서 16진수 모두 사용하는 7-세그먼트 제어기를 만들어보겠다. 0에서 9까지의 숫자는 이미 아라비아 숫자로 나타냈으니 10이상의 숫자 즉 6개의 숫자만 ... 따라서 f= 1/T 에서 구현한 7-세그먼트 디코더의 최대 동작 주파수는 f = 20.876827Mhz 가 된다. ④ 16진수를 표현할 수 있도록 A에서 D까지의 표시방법을 생각해보고 ... 그러므로 우리는 7-세그먼트에 A, C, a, b, c, d를 사용할 것이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.08
  • 7-segment를 이용한 게임만들기 계획서
    – Segment의 구조 (1/2)4 – 11구현사양(1/2)BCD-to-7세그먼트디코더7세그먼트 표시기DCBAabcdefgabcdefg저항330ΩBCD입력(MSB)5 - 117 – ... 제작모든 팀원6게임성능분석 및 개선방안 도출이완상, 한인석7게임 최종 분석 및 실행한인석, 허유현8최종보고서 작성이완상, 허유현9 - 11설계 프로젝트 진행 일정 계획 (2/2)참고문헌Internet ... Segment의 구조 (2/2)BCD-to-7segment 디코더4비트로 구성된 BCD 값을 입력하여 받아들여 7segment 표시기에 사용되는 a, b, c, d, e, f, g
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.29
  • 수 체계 실험 결과 보고서 (7 segment)
    수 체계 실험 결과 보고서1.실험 목표□ 2진수 또는 BCD(binary coded decimal) 수를 10진수를 변환.□ BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 ... 17447디코더의c부터 시작해서 차례대로 세그먼트의 A-c B-d C-e D-f E-g F-a G-b 순으로 연결되어 있다.입력출력2진수BCD 수7-세그먼트디스플레이00******* ... 그 결과 두 개의 7-세그먼트 중 10의 자리수를 표현하는 7-세그먼트는 점등되지 않으며 1의 자리를 표시하는 7-세그먼트만 점등되어 각 숫자를 디스플레이 합니다.7447 기능 참고
    리포트 | 4페이지 | 1,500원 | 등록일 2011.01.05
  • 8비트 가산기 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 8 8비트 가산기□ 시뮬레이션7-세그먼트 시뮬레이션Verilog HDL 코드시뮬레이션 결과입력값출력값숫자4(D)3(C)2(B)1(A)abcdefg0000 ... 세그먼트 디코더 datasheet지난 실험에서 7-세그먼트 디코더 회로를 쿼터스2 프로그램을 이용해 논리도를 그려 구성했다. ... 시뮬레이션의 입력은 순서대로 4비트신호가 카운터 되므로 카운터 클럭(C모양 표시된 아이콘)으로 손쉽게 그릴 수 있다. 7-세그먼트 디코더의 datasheet결과를 통해 출력결과에서
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • 자판기
    (a) 7세그먼트 표시기 디코더 진리표입력1000자리100자리10자리1자리비고s1s0abcdefgabcdefgabcdefgabcdefg00000000000000000********* ... “거스름돈 출력하는 자판기 시스템 설계 ” 과제에 대한최종보고서를 첨부와 같이 제출합니다.2011 년 6 월 21 일첨부 : 과제 최종보고서확인 : 담당교수 (인)? ... 결과물다음에는 자판기 이용자가 동전을 투입하면 현재까지 입력된 금액을 7세그먼트 표시기를 이용해 보여주도록 회로를 구성해보앗다.
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.28
  • 세븐세그먼트 디코더
    실험목적7-세그먼트 구동 디코더의 구조 및 동작 개념을 이해하고 실험을 통해 동작을 확인한다.1. ... BCD-7 세그먼트 디코더/드라이버는 4비트 BCD 입력과 10진수를 디스플레이 시키기 위해 해당한 세그먼트로 전류를 흐르게 하는 출력을 갖는다. ... 이 형태의 디스플레이는 HIGH상태에서 동작하는 출력을 가진 BCD-7 세그먼트 디코더/드라이버에 의해 구동되도록 되어 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.18
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 세심한 주의력이 요구 되는 것 같다.이론적 배경을 살펴보면, 세그먼트 디코더는 BCD에서 십진 숫자를 받아들여서 이를 나타내는 선분을 선택적으로 출력생성 하는 조합회로 이고, 7 세그먼트 ... 결과☞ 비고 및 고찰이번 실험은 BCD-7 세그먼트 디코더가 실제로 어떻게 표현되는지 TTL 칩들을 이용하여 회로를 직접 짜서 확인하는 시간이었다.실험 전에 각 진리표를 만들고 이를
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 디지털공학실험 3장 수체계(예비)
    쉽도록 보고서에 미완 상태의 회로도를 제시하였다. 8진수를 표시하기 위한 연결 방법을 나타내어 회로도를 완성하라. ... 그러므로 이자릿수를 표시하기 위해서는 추가의 디코더 없이 일반 LED를 사용할 수도 있다. 원래의 7-세그먼트 디스플레이는 작은 자릿수를 표시할 것이다. ... 각 고장들은 보고서의 표 3-2에 나열되어 있다. 주어진 고장을 발생시키고 그 영향을 광찰하라. 출력에 어떠한 영향을 주는지 표의 해당 난에 설명하라.
    리포트 | 10페이지 | 2,500원 | 등록일 2010.04.06
  • 인코더 디코더 실험 결과보고서
    예비 보고서 3번을 통해 알아본 4진수/2진수 우선순위 인코더 논리 회로도를 참고해 브레드보드에 쉽게 구현할 수 있었다. ... 실험 1번의 경우 AND 게이트, OR 게이트, NOT 게이트를 이용해 우선순위 인코더를 구현하는 것으로 예비 보고서 3번 문제의 답을 알면 쉽게 구할 수 있는 실험이었다. ... 이때 디코더(74LS47)의와의 입력 단자는 0으로 접지 시켜야한다.4) 74LS47의 출력에 7세그먼트 LED를 달아서 0, 1, 2, 3의 4가지 입력에 7세그먼트 LED가 제대로
    리포트 | 5페이지 | 1,000원 | 등록일 2009.05.15
  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.4) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 인코더와 디코더 실험예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... 예비 보고서인코더와 디코더1. 실험 제목-인코더와 디코더2. ... )를 인터넷에서 찾아 논리 심볼과 pin 구성도를 그려라.①Logic Symbol.② pin 구성도.※ RBI 포트가 Low인 경우 0에 해당하는 BCD 코드가 입력되었을 때, 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • 27진 카운터 최종보고서
    .최종보고서 < 27진 카운터 > 2 조1. ... 블록도7490에 함수 발생기를 연결하여 CLK를 주면 1씩 카운트가 되는데 그 BCD값을 7447이 받아 세그먼트로 표시해준다.7. ... BCD 디코더의 입력이 0001인 경우 그 출력은 7-Segment의 b, c 단자에 해당하는 출력단자를 동작시켜 LED b, c를 구동시킨다.
    리포트 | 14페이지 | 2,000원 | 등록일 2010.09.19 | 수정일 2020.06.29
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 9,10장(8비트 동기식 카운터, 8비트 시프트 레지스터) 결과보고서
    디지털 회로 실험(5장 결과보고서)과 목 명 :디지털 회로 실험학 과 :학 번 :이 름 :결 과 보 고 서< 실험 9, 10 : 8비트 카운터, 7세그먼트 디코더 >실험 8비트 카운터 ... , 7세그먼트-디코더를 이용한 7세그먼트 출력○ 실험결과012345*************415이번 실험은 74LS163을 연결하고 74LS163 TTL의 Output을 74LS47의 ... Input으로 넣은후 74LS47의 Output을 7세그먼트에 물린후 실험을 하였다.
    리포트 | 6페이지 | 1,000원 | 등록일 2009.05.07
  • 디지털공학실험
    디지털공학실험실험2 결과보고서(1) 2-to-4 복호기 : 표 14-to-2 부호기 : 표 2표 1 표 2입력출력ABL1L2L3L40*************0010110001입력출력SW1SW2SW3SW4AB100000010001001010000111 ... 매우 흔한 예로서 7세그먼트 디스플레이를 생각해 보자.블랭킹입력 BI가 LOW가 되면 모든 다른 입력들이 모든 세그먼트를 off 시키도록 만든다. ... 각 세그먼트는 LED 의 배열일 수 도 있지만 보통은 하나의 LED에 의해 조명되는 빛을 전도하는 파이프이다. 7개의 발광 다이오드, 또는 소수점이 있는 경우에는 8개 모두 접 속의
    리포트 | 3페이지 | 1,000원 | 등록일 2009.03.17
  • 디지털회로 - 7-세그먼트 제어기 사전
    5. 7-세그먼트 제어기제출일실험조이름-사전 보고서-? ... ABCD의 입력에 실험책 p.67쪽 결과보고서에 있는 입력 값들을 넣고 결과를 확인해보았다. 그것을 나타내는 것이 위의 그래프이다. ... 실험방법 및 Simulation1. 7-세그먼트 디코더 회로 동작 확인? 위의 회로도가 7-세그먼트 디코더 회로이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.08
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대