• 통큰쿠폰이벤트-통합
  • 통합검색(307)
  • 리포트(300)
  • 논문(2)
  • 시험자료(2)
  • 방송통신대(2)
  • 자기소개서(1)

"7-세그먼트 디코더" 검색결과 81-100 / 307건

  • 시립대 전전설2 [8주차 결과] 레포트
    Summarize experiment contents & purpose of this LabFND COUNTER를 구현하는 방법을 익혔고 7-세그먼트 디코더를 사용하여 FND와 FND ... 이번 실험과 저번 실험의 가장 큰 차이점으로는 7-segment 디코더를 이용하여 LED에 숫자가 나오도록 설정을 하는 것이었다. 7-segment 디코더의 진리표를 토대로 저번의 ... .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    0~9 표시7447 디코더의 ABCD를 제어하면 7세그먼트의 숫자를 자동으로 만들어 줍니다. ... 0001 1001● 7세그먼트 표시 장치(Seven-segment display)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 ... 따라 전원의 +V(On) 또는 -V(Off)가 연결되고 나머지 단자는 디지털 핀에 연결합니다. 7세그먼트도 LED를 사용하므로 과전류 방지용 저항과 함께 사용해야 됩니다. 7세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    고찰Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트 ... 현 실험에서는 0~9까지의 한자리를 나타내었지만 다른 7-세그먼트로 출력을 잡고 같은 코드를 추가하면 십의 자리, 백의 자리 등 더 큰 수 도 표현이 가능하다. ... 실험목적① BCD code, Seven-segment display에 대한 이론 및 회로② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-bit
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    하지만 BCD Decoder를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.위 그림은 BCD 디코더를 7세그먼트에 연결한 것과, BCD 디코더의 진리표 이다 ... 디코더의 ABCD를 제어하면 7세그먼트의 숫자를 자동으로 만들어 준다.디코더의 ABCD에 Binary형태로 0~9 까지 입력하면, 디코더는 자동으로 0~9를 디스플레데이터(BCD Code ... Common-An7세그먼트이다.Common-Cathode Type은 내부 LED의 Cathode가 Common Pin에 연결되고, Anode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.그렇기
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • verilog 3주차 ENC DEC보고서
    sement : 7세그먼트 디스플레이는 7개의 선분으로 구성되어 있어 각 획을 켜고 끔으로써 아라비아 숫자를 표시한다.소수점이 그려져 있는 경우도 있다.표시장치로는 LED, LCD ... 따라서 인풋이 2개, 아웃풋이 3개 존재한다. 2진화된 두 수의 크기를 비교하는데 많이 쓰인다.③ BCD to 7-Segment Decoder-BCD: BCD표기(Binary-coded ... 실습 이론① Binary Decoder위 사진은 2*4 decoder를 나타낸다.디코더는 encoding 된 정보를 code 화 되기 전 상태로 되돌려주는 장치를 말한다.디코더는 n
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 시립대 전전설2 [8주차 예비] 레포트
    .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 디지털논리회로 MULTISIM 레포트 7-segment
    *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 디코더는 4비트로 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 결과☞ 비고 및 고찰이번 실험은 BCD-7 세그먼트 디코더가 실제로 어떻게 표현되는지 TTL 칩들을 이용하여 회로를 직접 짜서 확인하는 시간이었다.실험 전에 각 진리표를 만들고 이를 ... 세심한 주의력이 요구 되는 것 같다.이론적 배경을 살펴보면, 세그먼트 디코더는 BCD에서 십진 숫자를 받아들여서 이를 나타내는 선분을 선택적으로 출력생성 하는 조합회로 이고, 7 세그먼트
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • Xilinx-ISE 응용 레포트 (7-segment)
    *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만,실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고 ... BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • [예비레포트] 숫자표시기 아두이노
    그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 ... 여덟개의 LED를 내장하고 있다.숫자표시기는 그림2와같이 모든 다이오드의 아노드가 공통으로 묶여있는 공통캐소드형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7세그먼트 ... 아두이노개요기존에 수행했던 숫자표시기 회로의 동작을 아두이노를 이용해 되풀이 해보고, 과거회로와 비교하여 어떤 부분을 프로그램으로 대체해 응용할 수 있는지 학습한다.관련이론숫자표시기7-
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • [mahobife]디지털회로실험 비교기, 인코더, 디코더 예비 보고서입니다.
    종류1) BCD - 10진 디코더2) BCD - 7 세그먼트 디코더(Cathode 형태)3) BCD - 7 세그먼트 디코더(Anode 형태)Ⅲ. 준비물 및 실험방법1. ... 디코더가 .소개디코더는 코딩된 입력 신호에 의해 여러 출력 선 중 하나를 선택하는 장치=> 일반적으로, 입력은 n비트 이진수이고 2n의 출력 선이 존재2-입력(4-출력) active ... 소개인코더: 하나 또는 그 이상의 활성 입력에 응답하여 출력에 특정한 코드를 출력시키는 회로.디코더와는 상호 보상적인 기능을 수행한다.-> 부호화기로도 불린다.->2^n개의 상태 수를
    리포트 | 11페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 디지털실험 - 설계 1 예비 보고서
    목적1) 디코더에 대해 알아보자.2) 논리회로소자에 대해 알아보자.3) 7-Segment를 디코더를 이용해서 사용해보자.2. ... D1만이 1이고 나머지 출력선 D0, D2, D3은 모두 0이 되며, 나머지 입력 값의 조합에 대해서도 한 출력선이 나머지 출력 선들과 다른 값을 가짐을 확인할 수 있다.2) BCD-7세그먼트7세그먼트는 ... 이를 구동하기 위해 8-4-2-1 BCD code Converter를 이용하며, 변환기 회로의 4개 입력 (A, B, C, D)는 8-4-2-1 BCD Number를 나타낸다.7세그먼트
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    BCD-7 세그먼트 디코더는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 표 4-1과 같고 이는 7-세그먼트의 입력이 ... 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 수가 있지만, 실제로는 4비트 십진수를 7비트코드로 변환하는 코드 변환기 이다.Y1 ... 회로로서2 ^{n}개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.3) 7-세그먼트 표시키 (Seven Segment Indicator)디지털 회로는 LED또는
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털논리회로 엘레베이터 제어기 설계
    (6) MAN72 7-세그먼트 디스플레이(7) 74138 Decoder3. ... 사용 부품:(1) 74148 priority encoder(2) 7474 D플립플롭 2개(3) 7485 비교기(4) 7493A 2진 카운터/74139(5) 7447A BCD/10진 디코더 ... 실험 목표:7층 건물의 엘리베이터를 위한 제어기를 구현한다. 2.
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    이용한 숫자표시기 설계① 7 세그먼트 디코더(Anode 형태)10진 표시 형태 결과 모습② 7447 BCD-7 segment 디코더인코더에서 NOT을 거쳐 나온BCD값에 대한 디코더의 ... oplusB}``=``bar{A barB``oplus barA B크기 비교기 실험 결과2. 10진-BCD priority 인코더 74147과 BCD-7 segment 디코더 7447을 ... 디코더의 의미와 동작 이해6. 인코더와 디코더의 응용 능력 배양Ⅱ. 데이터1.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    컨트롤러를 구현한다. oS_COM(SEG_COM)은 각 세그먼트 모듈(숫자 하나)을 켜거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 ... segment{A2,B2,C2,D2,E2,F2,G2}= 10의자리 7-segmentSEG_COM, SEG_DATA = PIN assigment 표 참조 다음의 그림과 같이 7 세그먼트 ... 라인 디코더를 이용하여 4bit 입력과 7bit 출력 2개를 갖는 디코더를 설계한다. 7bit 출력 한 개는 일의 자리를 표현하고 나머지 7bit 출력은 10의 자리를 표현한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 전기전자기초실험 조합 회로 설계 실험 결과레포트
    세그먼트 디코더의 최대 경로 지연을 찾아서 이것을 클록에 의해서 동작 시켰을 때의 가능한 최대 동작 주파수를 계산하시오.7-세그먼트의 경로 지연 표를 보면, 최대 경로 지연이 26.3ns이다 ... 이것의 진리표를 구하시오.→ →6의 모양 변화 9의 모양 변화입력7-세그먼트 출력ABCDabcdefg000001111110100010110000200101101101300111111001401000110011501011011011601101011111701111110000810001111111910011111011A10101110111B ... 세그먼트의 진리표
    리포트 | 4페이지 | 1,000원 | 등록일 2017.12.01
  • 세븐세그먼트 디코더
    실험목적7-세그먼트 구동 디코더의 구조 및 동작 개념을 이해하고 실험을 통해 동작을 확인한다.1. ... 이 디코더에 논리(logic)는 각각의 출력이 입력의 한 개 조합 이상의 입력에서 동작되기 때문에 이전의 것들보다 더 복잡하다.7-세그먼트 LED 판독기를 구동시키는 데 BCD-7 ... 이 형태의 디스플레이는 HIGH상태에서 동작하는 출력을 가진 BCD-7 세그먼트 디코더/드라이버에 의해 구동되도록 되어 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.18
  • 인코더 및 디코더 결과레포트
    to 2-line)우선순위 인코더를 AND,OR,NOT 게이트를 사용하여 구성 한후, BCD/7-세그먼트 디코더 드라이버(74LS47)으로 입력하여 7-세그먼트의 출력값을 확인한다.4진수 ... ]5.3 실험결과에 대한 고찰이은영 (201211682)이번 실험의 목적은 우선순위 인코더와 BCD/7-세그먼트 디코더 드라이버에 대해 알아보는 실험이다.4진수/2진수(4-line ... 이 때 74LS47의 C와 D의 입력 단자는 접지시킨다.5.4 74LS47의 출력에 7-세그먼트 LED를 연결하여 0,1,2,3의 4가지 입력에 대해 7-세그먼트 LED가 숫자를 제대로
    리포트 | 4페이지 | 1,500원 | 등록일 2016.04.05 | 수정일 2021.08.28
  • [디지털 논리회로 실험] 9장. 인코더와 디코더 예비레포트
    따라서 7-세그먼트 디코더의 입력은 10진 BCD코드이며 출력은 7-세그먼트 디코더는 BCD코드의 해당 10진 값을 7-세그먼트 표시기에 나타낼 수 있도록 하는 디코더이다. ... 예를 들어 10진수 0을 표시하기 위해서는 g에 해당하는 LED만 OFF시키며 8을 표시하기 위해서는 모든 LED를 ON해야 한다. 7-세그먼트 디코더는 BCD코드를 7-세그먼트 표시기에 ... 키운다.- 7-세그먼트(Segment)숫자 표시기에 대해 알아본다.2.
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대