• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,845)
  • 리포트(5,658)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 81-100 / 5,845건

  • verilog code - (combo kit) 4bit 2진 덧셈기를 7-segment로 출력
    수와, 덧셈 결과값을 7-segment에 출력한다. ... 처음에 comboⅠ 키트에서 입력받은 수를 첫 번째, 두 번째 7-segment에, 두 번째로 comboⅠ 키트에서 입력받은 수를 세 번째, 네 번째 7-segment에, 그 두 수를 ... 더한 결과값을 일곱 번째, 여덟 번째 7-segment에 십진수 표현으로 출력한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2014.04.25
  • 설계실습 11. 7-segment / Decoder 회로 설계 결과
    설계실습 11. 7-segment / Decoder 회로 설계1. ... 이번 설계실습 계획서를 애노드형 7-segment로 작성하여 그대로 실습하지 못하였지만 캐소드형 7-segment와 큰 차이가 없어 약간의 회로 수정을 통해 원하는 결과를 볼 수 있었다 ... -segment의 원리를 알고 나니 실상 좀 싱거운 면이 있었지만 그래도 회로 설계시 많이 쓰이는 7-segment 실험을 하게 되어 뜻 깊은 실험이었다.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • 논리 소자를 이용한 7-segment 제어 예비보고서
    7-segment 예비보고서(AND, OR, NOT 게이트, 불 대수의 정의 및 기본 정리, 카르노 맵)1. ... 표준 14-핀 패키지에는 4개의 2-입력 게이트와 3개의 3-입력 게이트 혹은 4개의 2-입력 게이트들로 구성되는데, 2개의 핀은 파워서플라이를 위한 핀으로 남겨진다.다음은 symbol들은
    리포트 | 3페이지 | 1,000원 | 등록일 2010.12.18
  • 설계실습 11. 7-segment / Decoder 회로 설계 예비
    (3) Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계하라. ... board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수1. 7segment란7segment ... 설계실습 11. 7-segment / Decoder 회로 설계1.목적 : 7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread
    리포트 | 6페이지 | 1,500원 | 등록일 2010.11.12
  • 경희대 컴퓨터구조 과제6 타이머 7segment 과제 - 보고서 & 소스코드
    과 목 명 : 컴퓨터구조과제6학 과 : 생체의공학과이 름 :학 번 :담당교수 : 조 민 형 교수님Timer와 7-segment LED를 사용하여 알람 기능이 있는 시계를 만들어라. ... 없앤다.LEDdigit[2]=Hour/1;//시간의 일의단위 숫자를 LEDdigit[2]에 넣는다.Hour-=LEDdigit[2]*1;//시간의 일의단위도 없앤다.}ISR(INT7 ... #include#include#define F_CPU 16000000ULchar LEDdigit[4]={0,0,0,0};// 7세그먼트에 숫자를 출력하기 위한 배열입니다.volatile
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.06
  • 쿼터스를 이용하여 DE-2 board를 이용한 7-segment 표시(모델심 추가)
    de-2 board를 이용한 7-segment 표시입니다 모델심 파일이 추가되어 있습니다과제 제출용이며 확실히 돌아갑니다^^
    리포트 | 4페이지 | 1,000원 | 등록일 2011.06.18
  • verilog code - (combo kit) 10진수 2자리수 곱셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... 입력값을 기다린다.VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ... segment, VFD의 각각의 세부적인 출력 방법이 다르기 때문에 그 점을 유의하면서 코딩해야한다.?
    리포트 | 14페이지 | 2,000원 | 등록일 2014.04.25
  • verilog code - (combo kit) 10진수 2자리수 나눗셈, led, 7-segment, vfd로 출력
    7 - Segmentcombo-1 kit에 있는 7-segment display 갯수는 총 8개 이다.7-segmet는 한 display에 16진수 표현법으로 0부터 F까지 모두 표현할 ... 입력값을 기다린다.VFD는 입력값을 받고, 출력값을 나타내는 것은 7-segment와 동일한 방법으로 구현한다.7-segment와 다른점은 연산자와 등호 출력하는 것인데, 연산자는 ... (dot 은 각 7-segment의 display 자리에 하나씩 있으므로 자리수를 차지하지 않는다.)그러므로 8개의 display 중 입력값을 나타내는데 쓸 수 있는 display수는
    리포트 | 15페이지 | 2,000원 | 등록일 2014.04.25
  • 영문자를 나타내는 7-segment 디코더
    code is for decoding radix 8-- A small letter alphabet to show it on 7 segment---------------------- ... ----------------------------------------------------------------------------- Entity: 7-segment decoder ... : out std_logic_vector(5 downto 0);SEG_OUT: out std_logic_vector(7 downto 0) );end entity SEVEN_SEG;-
    리포트 | 4페이지 | 2,000원 | 등록일 2007.12.01
  • 전전컴실험Ⅱ 06반 제10주 Lab#08 [7-segment, Piezo] 결과 보고서
    Results of this Lab (실험 결과) ‥‥‥‥‥‥‥‥‥‥‥ 7가. Results of Lab 1. ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 7나. ... Check agreement between the hypothesis/simulation and the result 12나. ... (나) 특징-LHS+RHS 형식으로 사용한다.-ASSIGN이란 키워드로 시작해야 한다.-ASSIGN OPERATOR는 =를 사용해야 한다.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    여기서 7447은 BCD 코드를 7 segment에 들어가는 A~G의 신호로 바꿔주는 역할을 하는 디코더이다. 7447에서 나온 신호는 7 segment로 들어가서 74390에서 카운트 ... 된 숫자를 7 segment에 표시하게 된다.입력부 및 출력부의 작동을 간단화하면 다음과 같다.그림 57 segment도 다른 소자와 마찬가지로 바로 기판에 납땜하지 않고 소켓을 ... segment에 출력될 뿐만 아니라 비밀번호로 인식되어야하므로 74390에서 나온 BCD 코드에서 하나씩 뽑아와 위의 그림처럼 회로를 짜면 된다. input 1에서 들어온 숫자가 제일
    리포트 | 7페이지 | 3,000원 | 등록일 2012.02.29
  • 실험(1) final project-7447, 7 segment, 74390, led 등을 이용한 암호 제어
    하지만 전체적으로 시스템을 reset 시키기 위해서는 위에서 말한 세 부분 모두 reset 되어야 한다.예비보고서그림 7 segment와 ENTER 카운터의 reset먼저 7 segment를 ... 주어진 조건에서는 reset시키면 7 segment에서 000이 표시되는 것을 말한다. ... reset 시키는 부분을 살펴보자. 7 segment를 reset 시키기 위해서는 74390을 reset 시키면 된다.
    리포트 | 6페이지 | 3,000원 | 등록일 2012.02.29
  • 마이크로프로세서 응용 및 실험 [LED 10단계 밝기 조절, 7-segment 표시 ]
    . → “9” → “0” → ….. )◆ 2번 스위치 입력이 있을 때 7-segment 표시 선택ㆍ 시간(초) 표시, 밝기 표시 선택ㆍ 각 밝기 단계 또는 시간(초) 를 7-segment ... switch 3개 , 저항 4개▷ 소스 파일#include #include volatile unsigned char segment[]={0x7f,0x06,0xba,0xae,0xc6,0xec ... segment2.
    리포트 | 14페이지 | 10,000원 | 등록일 2012.03.31 | 수정일 2013.12.17
  • 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    . 7-segment에 대해서 이해해보았고, 이를 출력으로 이용하여 디지털 시계를 설계해보았다. ... IntroductionVHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이다 ... 이번 실험은 VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 실험이었다
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • GCC,7-segment에 계산기의 값 출력
    1.keypad에 입력되는 숫자를 7-segment에 나타나게 하라.2.keypad에 입력되는 수로 계산기를 만들어라( 단,7->(+), 8->(-), 9->(=) )/******* ... segment함수를 응용. ... segment에 표시하는 함수.
    리포트 | 7페이지 | 1,000원 | 등록일 2007.10.18
  • [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림과 같이 ... -- select의 변화에 따른 실행begincase s is -- case 구문when `0` => y ... `1` => y
    리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • [기계자동차 공학실험] LabVIEW를 이용한 7-segment simulation 실험
    LabVIEW를 이용한7-segment simulation 실험● 실험 목표슬라이드 스위치 3개로 배운 이론을 이용하여 7-segment를 0부터 7까지 켤 수 있다. ... segment2. ... 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 *************11111010001010110110110111111111100110110111진 리 표 7-
    리포트 | 4페이지 | 3,000원 | 등록일 2009.03.25
  • 7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.
    segment와 연결한다.⑥ 브레드 보드에 전원을 연결하고 점등되는 LED와 7-segment를 확인한다.5. ... 마다- LED 밝기 조절: 100msec 마다- 7-segment 표시: 1초마다3. ... 실험 목적7-segment 점등 실험을 통해 timer와 counter의 원리와 회로구성을 이해한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.05.10
  • verilog code - (combo kit) 10진수 2자리수 계산기(덧셈,뺄셈,나눗셈,곱셈), 7-segment, vfd로 출력
    7 - Segment 출력 설명ⅰ) 초기 화면엔 아무것도 뜨지 않는다.ⅱ) 처음 숫자(a)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 ... 헷갈리기 때문이다.)0aⅲ) 그 다음, 숫자(b)를 입력하면abⅳ) 그 다음, 숫자(c)를 입력하면bcⅴ) 그 다음, 연산 (덧셈, 뺄셈, 곱셈, 나눗셈)을 입력하면 (연산을 입력해도 7- ... segment에는 연산을 표시하지 않는다.)bcⅵ) 그 다음, 숫자(d)를 입력하면 (10의 자리에는 일부러 0을 넣었다. 10의자리에 아무것도 display되지 않게 해놓으면 한자리수만
    리포트 | 32페이지 | 3,000원 | 등록일 2014.04.25
  • 실험 - Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    구현에는 Inverter와 2input & 3-input NAND 게이트만을 사용할 수 있다.2) BCD- to 7 segment display code converterBCD로 0 ... -9까지 입력하면, 7 segment에 이에 해당하는 Decimal 숫자가 나타나도록 회로를 설계하는 것이다.K-map을 이용하여 Boolean Function을 구하고 inverter ... condition이 2일 때 4로, 5일 때 6으로, 7일 때 0으로 되게 output sequence 를 정하였다.
    리포트 | 21페이지 | 6,000원 | 등록일 2009.12.23
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:16 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대