• 통큰쿠폰이벤트-통합
  • 통합검색(151)
  • 리포트(137)
  • 시험자료(11)
  • 자기소개서(1)
  • 방송통신대(1)
  • 이력서(1)

"Combinational Logics" 검색결과 81-100 / 151건

  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    1) Why Adders Aren’y Built Using Standard Combinational Design Process⑴ Truth table too big-2-bit adder ... :2 ^{(16+16)} `=`~4 billion rows-32-bit adder : ...⑵ Big truth table with numerous 1s/0s yields big logic-Plot
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 시립대 전전설2 [4주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report4주차: Combinational Logic을 설계 및 실험1. Introduction (실험에 대한 소개)가.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [5주차 예비] 레포트
    전자전기컴퓨터설계실험 ⅡPre-report5주차: Combinational Logic을 설계 및 실험1. Introduction (실험에 대한 소개)가.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 시립대 전전설2 [5주차 결과] 레포트
    전자전기컴퓨터설계실험 ⅡPost-report5주차: Combinational Logic을 설계 및 실험1. Introduction (실험에 대한 소개)가.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.07.29
  • 실험2 제07주 Lab05 Pre Mux & DMux & BCD
    이 또한 Output이 Input에 의해 결정되어지는 전형적인 Combinational Circuit이었다. ... Introduction1) Purpose of this Lab출력이 오로지 입력에 의해 영향을 받는 조합 논리 회로(Combinational Circuit) 중 Multiplexer와 ... Method1) Procedure of Lab 1① 2-bit 2:1 Multiplexer의 Logic Circuit과 Truth table을 바탕으로 2:1 Mux의 Verilog
    리포트 | 8페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 실험2 제07주 Lab05 Post Mux & DMux & BCD
    Conclusion이번 실험은 그 동안 배운 VHDL의 사용법을 숙달시키고 Combinational Circuit의 동작원리와 설계 방법을 익히는 심화된 실험이었다. ... Introduction1) Purpose of this Lab출력이 오로지 입력에 의해 영향을 받는 조합 논리 회로(Combinational Circuit) 중 Multiplexer와 ... Result of this Labs1) Measured data and description of Lab 1 (2-bit 2:1 Mux)< 2-bit 2:1 Multiplexer를 Logic
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 전자전기컴퓨터설계실험2(전전설2)5주차예비
    PreliminaryReport주 제: Lab#05 Combinational_Logic_Design_Ⅱ@ Decoder, Encoder and Mux지도교수 : 신 창 환 교수님실험조교 ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다.timing simulation하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • EPROM을 이용한 조합형(Combinational)과 순차형(Sequential) 회로 디자인 보고서 (컴퓨터 아키텍쳐 실습 보고서)
    실험 목표Sequential logic circuit을 review하고 EPROM을 programmable logic device로 활용할 수 있음을 안다.2. ... Computer Architecture LabLab 01: Combinational and Sequential Circuit Design Using an EPROM1.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.03.08
  • 데이터 통신 정리본
    Multiplication by2 ^{n}: logical left shift n-bit(3)CRC???1?M(X)? ... 경우 사용(7)High Level Data Link Control(HDLC)①Station types- Primary: link 제어- Secondary: primary 제어- Combined
    시험자료 | 6페이지 | 1,500원 | 등록일 2019.03.04
  • 전자전기컴퓨터설계실험2(전전설2)5주차결과
    ‘PostReport주 제: Lab#05 Combinational_Logic_Design_Ⅱ@ Decoder, Encoder and Mux지도교수 : 신 창 환 교수님실험조교 : 이 ... Xilinx프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다.timing simulation하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • [디지털시스템실험(Verilog)] Data Mapping Unit, Execution Combination Top 예비보고서
    TopExecution stage에서 Combinational circuit의 top level 모듈이다.앞서 구현했던 Data Mapping Unit, Arithmetic Logical ... )기본지식① Data Mapping UnitArithmetic logical unit에 사용되는 data의 순서를 재배치하는 모듈이다.실험에 대비하여 모듈을 코딩해보았다.ctrl 값에 ... Top실험목표① PICO Processor의 Data Mapping Unit을 구현한다.② Data Mapping Unit, Arithmetic Logical Unit, PC Calculation
    리포트 | 2페이지 | 1,000원 | 등록일 2011.10.05
  • 프로그래밍언어
    유닉스"유닉스라는 운영 체제를 만들게 되었는데, 이후 이 운영 체제를 고급언어로 다시 만들기 위해 마틴 리차드(Matin Richards)의 BCPL(Basic CPL, CPL = COmbined ... markup 言語, markup language)는 태그 등을 이용하여 문서나 데이터의 구조를 명기하는 언어의 한 가지이다.H-F-S산술 논리 장치(算術論理裝置, arithmetic logic
    리포트 | 8페이지 | 1,000원 | 등록일 2017.10.05 | 수정일 2022.11.07
  • [심리학개론] 정신장애의 치료
    나오기 시작함과학자 - 임상가 모델- Most popular training model- A systematic union between clinical skill and the logical ... professional school- Integrating scientific principles into their own clinical work통합 과학자 - 임상가 훈련 모델- Combined
    리포트 | 11페이지 | 1,000원 | 등록일 2018.01.01 | 수정일 2019.06.03
  • 영문독해숨은그림찾기
    Rhythm)음절(Syllable)이나 단어(Word)들의 음(Sound)이"강약(Stressed/Unstressed),고저(High/low),장단(Long/short)으로일정하게 "조합(Combination ... /Appearance주제문(Subject sentence)서술문(Supporting sentence)논리순서(Logical order)일관성(Coherence)통일성(Unity)결론문 ... 문단의 구조(Paragraph structure,Sentence order)"(Sentence+Sentence)문장의 조합Logical order/Topic/Coherence/Unity
    리포트 | 1페이지 | 1,000원 | 등록일 2013.02.01 | 수정일 2016.04.10
  • 전전컴설계실험2-7주차예비
    -7주차 Pre Lab#5-Combinational-Logic-Design(Decoder, Encoder, Mux, BCD to Excess 3)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... 검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... 검색된 FPGA 모듈에 BCD to Excess-3 Code Convertor Logic이 설계된 bit 파일을 프로그래밍한다.12.
    리포트 | 15페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 아주대 논회실 실험6 예비보고서.hwp
    logic): 현재의 인풋값으로만 출력이 결정되는 논리② 순차논리(Sequential logic): 현재의 입력뿐만 아니라 회로내부에 기억된 상태값에 따라 출력이 결정된다.③ 쿨럭신호 ... 회로이며 상승모서리 검출기 회로인 경우에는 NOT과 AND게이트를 사용하고 하강모서리 검출기를 설계할때는, NOT과 NOR게이트를 이용해서 만든다.3) 그 외 중요한것들① 조합논리(Combinational
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.10 | 수정일 2014.03.21
  • 영어 과학 잡지
    into the body, using the scanner we can read brain and mimic the sleep mode, only 1 and 0, the basic logic ... buy the land), it’s less noisy, and there’s no need for fencing.-14-..PAGE:16Integrated Gasification Combined
    리포트 | 20페이지 | 2,000원 | 등록일 2015.06.29 | 수정일 2015.10.13
  • [verilog HDL] 감산기와 비교기의 설계
    전자전기컴퓨터설계실험2Combinational Logic Design: Arithmetic Logic and Comparator(Post-report)전자전기컴퓨터공학부2008440006
    리포트 | 27페이지 | 3,000원 | 등록일 2014.11.02
  • 전전컴설계실험2-7주차결과
    -7주차 Post Lab#5-Combinational-Logic-Design(Decoder, Encoder, Mux, BCD to Excess 3)학과전자전기컴퓨터공학부학번2009440138이름정필웅담당교수문용삼 ... 검색된 FPGA 모듈에 4:1 Mux Logic이 설계된 bit 파일을 프로그래밍한다.12. ... -Logic Modeling 설계 후 FPGA MODULE에 탑재하기 전에 Simulation을 통해 동작을 검증한 후에 프로그래밍해야 오류를 방지할 수 있다.3.Supposed Date
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • [디지털시스템실험(Verilog)] Register 예비보고서
    Flip-Flop을 32개 사용하는 이유는, 32bit의 데이터를 처리하기 위함이다.각 register의 연산이 끝나면, 이를 Combinational logic의 Decoder에서 ... logic을 연결하여 만들어진다.register가 32bit라는 말은 Flip-Flop을 32개 사용한다는 뜻이다. ... Flip-Flop를 미리 코딩해보았다.③ Register의 설계이번 실험에서 구현해야 할 General purpose register는, 32bit register 16개와 적당한 Combinational
    리포트 | 3페이지 | 1,500원 | 등록일 2011.10.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대