• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,694)
  • 리포트(1,467)
  • 자기소개서(192)
  • 논문(16)
  • 시험자료(11)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"LCD실험" 검색결과 81-100 / 1,694건

  • [논리회로설계실험]VHDL을 활용한 Calculator 설계
    배경이론(Background)1)LCD이전 실습에서와 같이 FPGA의 LCD를 이용한다. ... 값 전달LCD_A(1)은 0으로 고정되었고, LCD_A(0)의 값을 통해 read(00)/write(01)을 결정하였다. ... 딥스위치(8비트)3)process별 역할 설명상위에 lcd_display 모듈을 만들고, component형식으로, lcd_test와 data_gen을 불러오는 방식으로 코드를 구성한다
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 5 보고서
    (lcd_rs),.lcd_rw(lcd_rw),.lcd_en(lcd_en),.lcd_data(lcd_data));endmoduletextlcd.v`timescale 1ns / 1ps` ... 8'b00101100`define lcd_Dot 8'b00101110`define lcd_0 8'b00110000`define lcd_1 8'b00110001`define lcd_ ... 2 8'b00110010`define lcd_3 8'b00110011`define lcd_4 8'b00110100`define lcd_5 8'b00110101`define lcd_6
    리포트 | 14페이지 | 3,000원 | 등록일 2020.08.18
  • 전자전기컴퓨터설계실험1(전전설1) 멀티미터프로젝트
    서론 (Introduction)1.1 실험의 목적(1) 저항 계측에 관한 응용 능력 습득.(2) 아두이노 프로그래밍 능력 함양.1.2 실험의 설계 방향(1) 저항의 측정본 실험의 목적인 ... (16,2); lcd.init(); lcd.backlight(); Serial.begin(9600);}float Voltmeter() { float input = analogRead ... 코드#include #include LiquidCrystal_I2C lcd(0x27,16,2);float floatMap
    리포트 | 27페이지 | 10,000원 | 등록일 2024.01.23 | 수정일 2024.05.14
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    시뮬레이션 및 실험사진(6.1) 조이스틱부 테스트(6.2) 모터부 테스트(6.3) 블루투스 테스트(6.4) 전체 동작7. 결과 및 고찰1. ... ");lcd_puts(str);}else if(ch == 8) // 전진 왼쪽 대각선{PORTD=0b01010110;delay_ms(100);lcd_clear();lcd_gotoxy ... ;delay_ms(100);lcd_clear();lcd_gotoxy(0,0);sprintf(str,"mode = right diagonal");lcd_puts(str);}else if
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
  • 충북대 물리2 실험보고서 편광 결과
    90일 때 조도가 0이 나오고 각도가 다시 올라가면 조도가 올라가는 현상이 반복되었다 이것을 보아 lcd 모니터의편광 방향은 각도에 따라 다를 것같고 lcd 안의 두 편광판의 각도는 ... 편광판2가 편광판 1과 수직방향이라면 조도가 0이 된다.LCD 모니터의 편광 방향이 모두 같은지, 다른지 관찰 결과를 이용하여 설명한다.방향이 모두 다를것같다 그러한 이유는 각도가 ... 실험은 비교적 빛의 세기를 교차된 편광 필터들을 통과해 각도 및 조도를 파악하는 간단한 실험이였고 주의할 점은 실험결과의 극대점극소점이 정확히 나와야 하는 것이였습니다 그러한 이유는
    리포트 | 2페이지 | 1,000원 | 등록일 2022.12.23 | 수정일 2022.12.27
  • (디스플레이공학 레포트)TFT LCD panel 분석
    순 서실험 목적 실험 이론 실험 방법 실험 결과 결과 및 토의실험 목적실험 목적 실제 완성된 TFT-LCD module을 공정의 역순으로 분해, 관찰함으로써 TFT-LCD module의 ... , D.I water를 이용, 잔류 액정을 폐액통에 버리고 N2 gun으로 dry한다.실험 방법(4)최종 분해된 LCD module의 각 요소실험 결과(1)개구율 측정☞ 개구율은 TFT화소 ... 결과는 왼쪽 그림과 같다.채널 너비 WData 배선을 통한 신호의 이동실험 결과(5)결과 및 토의(1) 이번 실험은 TFT-LCD panel을 분해함으로써 각 요소 및 구동원리를 이해하고
    리포트 | 17페이지 | 5,000원 | 등록일 2020.12.31
  • [코드 복사가능, 학점A+] 전전설2 10.Term Project - 예비+결과+발표자료+성적인증 (서울시립대)
    따라서 LCD 출력부의 문제임을 확인하였다.그리고 잘못된 부분을 찾기 위하여 이전 실험에서 사용했던 LCD 출력 code와 일일이 대조하였다. ... 실험 목적1. Design a digital clock displayed on LCD in Verilog HDL.2. ... Improve your design skills by implementing various additional features on it.실험 목표Obligatory1.
    리포트 | 8페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.09
  • LG디스플레이 공정 최종합격 자기소개서
    실험 중 발생하는 예기치 못한 문제들을 다양한 방법으로 접근하여 해결책을 찾아내기 위해 계속해서 새로운 아이디어를 모색하였고, 그 결과로 몇몇 실험에서 원하는 결과를 얻어내는 데 성공할 ... 또한 친환경 제품 인증을 받은 IT용 하이엔드 LCD 패널도 주목할 만한 트렌드 중 하나입니다. ... 그동안 쌓아온 지식과 경험을 바탕으로 회사의 OLED 및 LCD 사업의 성장과 동시에 친환경 및 지속 가능성 관점에서도 기여할 수 있는 방법을 모색하겠습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.10.11
  • 서울시립대학교 전전설2 9주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Introduction (실험에 대한 소개)가. Purpose of this Lab이번 실험에서 Verilog HDL언어를 사용하여 LCD장치 제어를 실험한다. ... 수행 과제(1) Lab 1Text LCD에 학번과 이름을 출력하시오Main codeTest benchSimulation 결과Pin 연결(2) Lab 2Text LCD에 학번과 이름을 ... Pre-Lab Report- Title: Lab#09 LCD Control담당 교수담당 조교실 험 일학 번이 름목 차1.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • 광전자공학실험1 Axis Control System , Axes Control System
    4개⑷ 추고정 L렌치 1개 ⑸ 일자 드라이버 1개⑹ 시스템 전원 공급 Adapter 1개▶ LCD모니터> 첫 번째 줄 : 수동 상태- LOW ? ... , 외부 제어로 명령과 센서 신호를 수집하여 직접 제 어를 할 수 있습니다.- 로터리 모터를 이용한 회전속도 제어가 가능하게 해주는 Motion Platform.- LCD 모니터를 ... 모드로 바꿔줍니다.- PWM(펄스 폭 변조) 과 Analog(아날로그) 버튼을 Analog(아날로그)모드로 바꿔줍니다.- 4개의 벨트 속도 버튼을 누르면서 모터를 작동시켜줍니다.- LCD
    리포트 | 3페이지 | 1,500원 | 등록일 2022.12.23
  • AMD 실험 결과보고서 AMOLED panel의 물리적, 광학적 특성
    실험기구광학현미경α-step드라이버, 커터칼Table SEQ Table \* ARABIC 2 측정 장비 및 실험기구∙ 광학현미경 : 육안으로 보기 힘든 물체를 확대하여 확인할 수 ... 있도록 하는 장비.이번 실험에서는 각 Panel의 TFT와 OLED를 확인한다.∙ α-step : 얇은 판의 두께를 재기 위해 사용하는 장비.이번 실험에서는 OLED RGB를 확인해보는 ... (Vth의 변화에 관계없는 전류를 OLED소자에 흐른다)LCD와 OLED의 차이점에 대해 생각해보아라AMOLEDTFT-LCD전류 구동 방식을 사용한 자체발광자발광 소자이므로 광원 필요
    리포트 | 11페이지 | 5,500원 | 등록일 2022.03.01
  • 인하대 전자회로실험1 sensor 결과보고서 [tinkercad]
    과제에서 사용한 입력센서는 온도조절센서이고 출력부품은 예전에 실험하였던 LCD를 활용하였다. ... 섭씨와 화씨를 출력한다.고찰이번실험은 여러가지 센서를 이용하는 실험이었다. ... 이번 과제에서는 이러한 센서들을 사용해 입력을 받고 지금까지 배운 다른 부품들을 사용하여 출력을 나타내는 실험이었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.19
  • 센서 및 마이크로 시스템 아두이노
    실험은 조도센서를 이용하여 LCD판에다가 빛의 밝기를 숫자로 나타내보는 실험이다. ... 초음파 센서를 이용하여 앞에 있는 사물의 위치에 따라서 LCD화면에 거리를 cm단위로 나타내는 실험이다. ... LCD 사용하기1) 주위 밝기를 LCD에 표현하기※ LCD는 액정을 이용해 숫자나 글자를 표시하는 장치이다.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.10.20
  • 서울시립대학교 전전설2 9주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Studies from this Lab이번 실험은 새로운 LCD Text라는 장치를 다루는 실험이었습니다. ... 연결- 실험결과2016440047 / Park Seung-Kyun(2) Results of Lab 2Text LCD에 학번과 이름을 출력하되 길거리 광고판처럼 글자가 왼쪽으로 한 ... 출력하는 실험이었다.다양한 명령어가 존재하는 것을 보았고, 그 중 원하는 명령어를 활용해 LCD에 원하는 구현이 동작하도록 코드작성을 하여 동작함을 확인하였습니다.2) 실습 2이
    리포트 | 27페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.11.08
  • [에리카A+] 마이크로프로세서응용 Lab4 Characteristic LCD
    년도-학기과목명마이크로프로세서응용LAB번호제목Character LCD실험 일자제출자 이름제출자 학번팀원 이름Chapter 1. ... 결론 및 Discussion이번 실험에서는 디스플레이 공간을 초과하는 문자열을 물이 흐르듯이 출력하는 방법과 토글스위치 코드를 결합하는 실험이었다. ... 혹시나 싶어 해당 프로그램 코드를 지우고 스위치의 작동을 테스트하는 코드로 바꾸어서 잠깐 실험도 해보았는데, 이때에도 마찬가지의 결과를 보였다.이전까지의 실험에서는 다른 기판을 사용했기
    리포트 | 10페이지 | 1,500원 | 등록일 2020.04.02 | 수정일 2020.08.26
  • Verilog UpDown Game 프로젝트 결과 보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 프로젝트 결과보고서디지털 시스템 설계 및 실험 2019 전기전자공학부이름 :학번 :제목UP&DOWN GAME프로젝트1 ... ;input resetn,clk;output LCD_E,LCD_RS,LCD_RW;output [7:0]LCD_DATA;wire LCD_E;reg LCD_RS,LCD_RW;reg[7: ... 코드module game(rst1, rst2, resetn, clk, LCD_E,LCD_RS,LCD_RW,LCD_DATA, key, player1, player2, seg_data)
    리포트 | 8페이지 | 1,500원 | 등록일 2020.04.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / 파이널 계산기 / 2021년도(대면) / A+ (코드파일 포함)
    Introduction- 앞서 수행한 실험들(논리 설계, 7-segment와 Piezo 장치 제어, LCD 장치 제어 등)을 바탕으로, Verilog HDL 언어를 사용하여 최종적으로 ... - input을 인가한 뒤, 덧셈을 수행하기 위해서 Sum(Bus SW 3)과 Result(Button SW F) 버튼을 인가해주면 계산 결과값이 LCD LINE2에 나타나게 된다 ... - input을 인가한 뒤, 곱셈을 수행하기 위해서 Multiply(Bus SW 5)와 Result(Button SW F) 버튼을 인가해주면 계산 결과값이 LCD LINE2에
    리포트 | 25페이지 | 20,000원 | 등록일 2022.08.12 | 수정일 2022.08.18
  • 서울시립대학교(시립대) 전자전기컴퓨터설계실험2(전전설2) 파이널 프로젝트(Final Project)
    전자전기컴퓨터설계실험 2(최기상 교수님)파이널 프로젝트1. 설계 목표2. 코드 설명3. 작동 모습1. ... 코드 설명module project (rst, clk, dipsw, button, lcd_e, lcd_rs, lcd_rw, piezo, lcd_data);input rst, clk; ... input [7:0] dipsw;input [10:0] button;output lcd_e, lcd_rs, lcd_rw, piezo;output [7:0] lcd_data;wire
    리포트 | 78페이지 | 1,500원 | 등록일 2023.11.12 | 수정일 2023.11.24
  • A+, 100점) 22학년도 일반물리학실험2 <멀티미터와 오실로스코프 기본 작동법> 실험 리포트
    실험 이론2.1. 오실로스코프오실로스코프(Oscilloscope)는 진동하는 신호를 측정하여 사람이 관측할 수 있 도록 나타내주는 계측 장비이다. ... 실험목적브라운관, 또는 CRT(Cathode Ray Tube)의 작동원리를 알아보고, 오실로스코프의 작동원리를 이해한다. 또한, 디지털 오실로스코프의 사용법을 익힌다.2. ... 즉, 오실로스코프를 통 해 시간적으로 변하는 다양한 형태의 전기적인 신호를 음극선관(Cathode Ray Tube)이나 액정디스플레이(LCD)와 같은 표시장치를 통해 가시화하여 전기적인
    리포트 | 10페이지 | 3,000원 | 등록일 2022.09.02 | 수정일 2022.09.13
  • (A+자료) 마이크로프로세서 실험 팀프로젝트 ATmega128을 이용한 운세 자판기
    회로도 설명 / 시뮬레이션 및 실험결과 분석5. 실험사진, 동영상6. ... 대신 구동이 C-LCD보다 어렵다.C-LCD 구조1) 제어기(Controller)외부 인터페이스로부터 오는 신호를 해독하고 그에 맞는 적절한 작업을 수행한 후에 LCD패널에 문자를 ... 한글까지 구현이 가능한 LCD .
    리포트 | 27페이지 | 5,000원 | 등록일 2023.01.16 | 수정일 2023.03.07
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:26 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대