• 통큰쿠폰이벤트-통합
  • 통합검색(36,984)
  • 리포트(34,966)
  • 시험자료(1,406)
  • 방송통신대(197)
  • 논문(135)
  • 자기소개서(99)
  • 서식(98)
  • ppt테마(72)
  • 이력서(8)
  • 노하우(3)

"R-s F/F" 검색결과 81-100 / 36,984건

  • A+ 기말과제물 2020년 2학기 '영어발음의 원리'
    t] - searched(‘찾아보다’의 과거, 과거분사형)e. [r??] - rich(부유한)f. [æmb???s] - ambitious(야심 있는)g. [kra? ... [θiŋ] - thing(것, 물건)r.[r??z] - rugs ‘양탄자(rug)’의 복수형s.[itr?n?] - eternal(영원한)t.[a?s?] ... n] - sun(태양), son(아들)b. [rut] - root(뿌리)c. [li??r] - leisure(여가)d. [s??
    방송통신대 | 9페이지 | 5,000원 | 등록일 2021.02.14
  • 바이오닉 슬라이드
    2017 02 M o T u W e T h F r S a Su 5 6 7 8 9 10 11 1 2 3 4 12 13 14 15 16 17 18 19 20 21 22 23 24 25 ... 26 27 28 MARCH 2017 03 M o T u W e T h F r S a Su 5 6 7 8 9 10 11 1 2 3 4 12 13 14 15 16 17 18 19 20 ... 21 22 23 24 25 26 27 28 29 30 31 JANUARY 2017 01 M o T u W e T h F r S a Su 1 2 3 4 5 6 7 8 9 10 11
    ppt테마 | 32페이지 | 1,500원 | 등록일 2024.01.13
  • 2021년 방송통신대학교(방통대) 데이터정보처리입문 과제
    decimal point is 1 digit(s) to the right of the |1 | 004582 | 13334588893 | 03557894 | 111334566785 ... 다소 오르며 횡보하였으나 2015년 1.239명을 기점으로 꾸준히 감소하여 2019년에는 역대 최소 합계출산율일 0.918명으로 떨어졌음을 알 수 있다.Score.txt에 대하여 R을 ... 위 그래프로는 데이터가 점수가 낮은 쪽으로 다소 치우쳐 있고, 특이값이 존재한다는 것을 알 수 있다.[2번 문제 R 프로그램 코드 스크린샷]교재 4장 연습문제 1번 (한글 프로그램이
    방송통신대 | 8페이지 | 5,000원 | 등록일 2020.04.10 | 수정일 2021.05.03
  • (인간행동과사회환경 3학년) (1) 스키너(B. F. Skinner)의 조작적 조건형성에 대해 설명하고, 이에 대한 자신의 견해(또는 경험)를 구체적으로 작성하세요. (15점)
    R=반응, S=자극)으로 함축된다. ... 비둘기 실험연구와 같이 모든 자극에 대한 행동은 행동에 대한 강화(reinforcement)작용으로 설명할 수 있다.이러한 행동은 자극에 따른 반사적 행동으로 나타나며 R=f(S)( ... Skinner)의 주장이 사회복지영역(또는 교육영역)에 주는 시사점은 무엇인지 구체적으로 작성하세요. (15점)1) 사회복지영역에 주는 시사점 - 자기 행동 변화2) 교육영역에 주는
    방송통신대 | 13페이지 | 6,000원 | 등록일 2022.09.16
  • 대학원 기계공학과 전공면접 자료정리 + 4대역학 정리 (상위권 대학 실제 면접내용 포함)
    정정보, 부정정보, S.F.D, B.M.DS.F.D : y방향의 그래프 전단력B.M.D : 모멘트의 그래프, SFD의 기울기에 달라짐. 모멘트가 ?이면 +방향.9. ... 각운동량H=rx(m*v) :(x : 외적)각운동량 시간에 미분시 dh=r*ma=r*F = 토크 or 모멘트즉 모멘트의 합이 0일시 각운동량=일정=보존 (각운동량 미분하면 각가속도인데 ... 각운동량 보존 조건- F가 중심력 : 기준점으로 향해 작용 (ex.
    자기소개서 | 9페이지 | 6,000원 | 등록일 2020.08.18 | 수정일 2022.09.02
  • [방송통신대 컴퓨터과학과] 컴퓨터그래픽스 기말평가
    (라) 답안n=(0.293,`0,`-0.880)#v`=(-0.471,`-0.784,`-0.157)#n=(0.802,`0.535,`0.267)M _{VT} =R _{VT} T _{VT ... } -x _{f} )#````=0+5/8(80-0)#````=50#y=y _{f} +a _{oUT} (y _{e} -y _{f} )#````=5+5/8(55-5)#````=36.25Ⅲ ... #y=y _{f} +a _{iN} (y _{e} -y _{f} )#````=5+3/10(55-5)#````=20a _{oUT} =5/8#x=x _{f} +a _{oUT} (x _{e
    방송통신대 | 8페이지 | 3,000원 | 등록일 2020.07.17 | 수정일 2020.07.21
  • [A+] 구심력 결과 보고서
    _{av})표준편차 (수식입니다.sigma _{omega })수식입니다.F _{r}(수식입니다.mrw _{av}^{2})수식입니다.DELTA F(수식입니다.F _{r} -F)수식입니다 ... }^{2})수식입니다.DELTA F(수식입니다.F _{r} -F)수식입니다. ... =>수식입니다.F _{r}을 더 신뢰할 수 있다고 생각한다.수식입니다.F _{r}을 구할 때 사용되는 변수가 더 많기 때문이다.질문 2) 반경(수식입니다.r)을 바꿔가면서 측정한 상대오차
    리포트 | 3페이지 | 1,500원 | 등록일 2023.09.21
  • [전자회로실험2]보고서5주차- MOSFET CS Amplifier
    {R _{S}}사용장비-직류전원-트랜지스터: 2N7000-디지털 멀티미터-가청주파수 발전기-오실로스코프-LCR meter실험결과V _{i[mV]f[kHz]V_0[mV]A_V501541.085052024.0450102905.8 ... -->증폭률만 구하면,A_V=|- {R _{D}} over {R _{S}} |={R _{D}} over {R _{S}}=10이므로 주파수를 고려하지 않은 이론 값일 때와 실험값일 때 ... --> 증폭률만 구하면,A_V=|- {R _{D}} over {R _{S}} |={R _{D}} over {R _{S}}=10이므로 주파수를 고려하지 않은 이론 값일 때와 실험값일
    리포트 | 7페이지 | 3,000원 | 등록일 2023.12.26
  • 일반물리실험1 결과보고서 6.구슬롤러코스터
    R ^{3}} = {3M} over {4 pi R ^{3}} 높이가dz, 반지름이sqrt {R ^{2} -z ^{2}}인원판의 질량dm= rho _{m} pi(R ^{2} -z ^ ... mv _{f}^{2} +mg(2r)+ {1} over {5} mv _{f}^{2} =mgh →{7} over {10} mv _{f}^{2} =mg(h-2r) →{7} over {10g ... -K _{i} )+(U _{f} -U _{i} )=0K _{f} +U _{f} =K _{i} +U _{i}이므로{1} over {2} mv _{f}^{2} +mgy _{f} = {
    리포트 | 5페이지 | 1,000원 | 등록일 2023.08.11 | 수정일 2023.08.16
  • 전기회로설계실습 예비보고서 11. 공진회로(Resonanr Circuit)와 대역여파기 설계
    {R} sqrt {{L} over {C}}alpha = {R} over {2L} =50000H= {1} over {sqrt {1+Q ^{2} ( {omega } over {omega ... 이 결과에 근거하여 측정할 주파수를 결정하여 표로 제출하라.Q= {w _{0}} over {2 alpha } =R sqrt {{C} over {L}}R= sqrt {{L} over ... 연결 후에 scope의 CH1에는 입력 전압이 CH2에는 R에 걸리는 출력전압이 측정된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2024.06.22
  • [한양대 Erica 기초회로실험] OP Amp의 기초 회로
    만약R _{a} =R _{b} =R _{c} =R _{s}라면 식(9)는 다음과 같이 간소화된다.v _{o} =- {R _{f}} over {R _{s}} (v _{a} +v _{b ... 즉i _{n} =0…(4)식 (2)~(4)를 식 (1)에 대입하여 다음 식과 같은 유명한 결과를 산출한다.v _{o} {-R _{f}} over {R _{s}} v _{s}…(5)출력 ... 따라서i _{s} = {v _{s}} over {R _{s}}…(2)i _{f} = {v _{o}} over {R _{f}}…(3)이제 이상적인 OP Amp에 대한 입력 전류 제약에
    리포트 | 6페이지 | 2,000원 | 등록일 2023.09.27
  • UNIX시스템 ) 명령의 연결과 확장, 파일과 디렉터리 관리, cron 서비스, (셸 스크립트) 변수의 사용, (셸 스크립트) 선택 구조, 사용자 계정 수정, 파일 찾기와 문자열 검색
    username② userdel -t username③ userdel -L username④ userdel -f username④userdel ? ... a, -i, -r, -u 가 존재하고, -i, -u, -v와 관련된 옵션은 mv 명령이다.③ 리눅스에서는 파일을 삭제한 후 복구가 불가능하다.④ 접근 권한에서는 9개의 비트를 사용해서 ... f username 가 문제에서 의미하는 명령이다.userdel ?
    방송통신대 | 7페이지 | 5,000원 | 등록일 2023.01.31
  • [한양대 Erica 기초회로실험] OP Amp의 기본 응용 회로
    {m}} over {R _{s} C _{f}} t- {2V _{m}{sRC}? ... {R _{s} C _{f}} int _{t _{0}} ^{t} {(-V _{m} )dy} `-` {1} over {R _{s} C _{f}} V _{m} t _{1} `=` {V _ ... {dt} (v _{s} -v ^{-} )=C {d} over {dt} v _{s} [A],````i _{f} = {v ^{-} -v _{o}} over {R} =- {v _{o}}
    리포트 | 8페이지 | 2,000원 | 등록일 2023.09.27
  • [로켓공학] SRM 고체추진기 설계
    n}은 다음과 같이 구한다.LEFT [ L _{n} = {R _{t} LEFT ( sqrt {epsilon } -1 RIGHT ) +R(sec alpha -1)} over {tan ... {i} ^{2}} over {R _{o} ^{2}} `=`W _{f} (2-W _{f} ) RIGHT ]V _{l} =0.34(2-0.34)=0.56V _{c} = {0.4507m ... ]W _{f} = {0.2032m} over {0.5955m} =0.34LEFT [ V _{l} = {V _{p}} over {V _{c}} `=` {R _{o} ^{2} -R _
    리포트 | 8페이지 | 2,000원 | 등록일 2024.03.02
  • 방통대 통계데이터과학과 R데이터분석 A+
    of freedomMultiple R-squared: 0.036, Adjusted R-squared: 0.01938F-statistic: 2.166 on 1 and 58 DF, p-value ... )data: performance$t2Test Statistic = 4.7536, p-value = 0.01232 oneway.test(t2~stress, data=A) #모집단별 ... 다른 분산One-way analysis of means (not assuming equal variances)data: t2 and stressF = 6.9315, num df =
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 회사용ppt템플릿, 발표용ppt템플릿, 사업ppt템플릿, ppt, 템플릿, ppt템플릿, 심플한ppt
    R G B #F597FF #F597FFPart 01 I N D E X Part 02 Part 03 Contrary to popular belief, Lorem Ipsum is not ... #F597FF #A7C2FF #F597FF #F597FF P P T TEMPLATE !#A7C2FF P P T TEMPLATE ! ... Lorem Ipsum has been the industry's standard dummy text ever since the 1500s 01P a r t 03 간단한 설명을 적어주세요
    ppt테마 | 50페이지 | 1,500원 | 등록일 2020.04.28 | 수정일 2020.09.03
  • 방송통신대학교 컴퓨터과학과 파이썬 2020년도 과제
    (abs(x2 - x1) ** 2 + abs(y2 - y1) ** 2)if (distance < r1 - r2):return Trueelse:return Falseturtle.speed ... x2, y2, r2):distance = math.sqrt(abs(x2 - x1) ** 2 + abs(y2 - y1) ** 2)if (r1 + r2 > distance):return ... = float(input("서비스료를 입력하시오:"))tip = float(input("팁 비율을 입력하시오:"))print("팁은 %0.2f 이고 총 요금은 %0.2f 입니다."
    방송통신대 | 7페이지 | 3,000원 | 등록일 2020.02.17 | 수정일 2020.06.21
  • 현대물리실험_A+레포트_밀리컨유적 실험 결과
    10 ^{-5} m/sv _{r}{상승`거리} over {상승`시간`} = {0.4 TIMES 10 ^{-3} m} over {6.3s} =6.3 TIMES 10 ^{-5} m/sqq ... m} over {5.8s} =6.9 TIMES 10 ^{-5} m/sv _{r}{상승`거리} over {상승`시간`} = {0.4 TIMES 10 ^{-3} m} over {6.0s ... 식(1), (4), (6)으로부터 기름 방울의 반경 을 다음과 같다.{4} over {3} pi r ^{3} pg=6 pi eta rv _{f} ``````or`````r= sqrt
    리포트 | 6페이지 | 2,000원 | 등록일 2024.04.04
  • 회로이론및실험1 7장 중첩의 원리 A+ 결과보고서
    1} )=1.522V#f(V _{2} )=-0.435V#f(V _{1} +V _{2} )=1.087V#f(V _{R} )=1.085V의 식들을 세울 수 있다.회로 1 그 자체로V _ ... 만 제거V_R1.085V-0.435V-0.872VAdditive하다.1.522Vf(a)=b,f(c)=d,f(a+c)=b+d,f(ax+by)=af(x)+bf(y)를 이용하여f(V _{ ... 또한V _{1}만 제거한 회로에서V _{2}를5[V] 유지한 것과V _{2}를10[V]로 바꿨을 때V _{R}을 비교해보면-0.435[V]와-0.872[V]의 값이 나온다.V _{2
    리포트 | 1페이지 | 1,500원 | 등록일 2024.04.03
  • [한양대 Erica 기초회로실험] Active Filter
    H}}두 개의 통과대역(s -> 0 그리고s -> INF )에서 전달 함수의 이득은R _{f} /R _{i}이다. ... 그림 1 회로의 전달함수는 다음과 같다.H(s)= {-Z _{f}} over {Z _{i}} = {-R _{2}} over {R _{1} + {1} over {sC}} =-K {s} ... omega _{c1}} RIGHT ) LEFT ( {-R _{f}} over {R _{i}} RIGHT ) = {-K omega _{c2} s} over {(s+ omega _{c2
    리포트 | 7페이지 | 2,000원 | 등록일 2023.09.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대