• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,795)
  • 리포트(1,712)
  • 시험자료(38)
  • 방송통신대(15)
  • 논문(11)
  • 자기소개서(9)
  • 서식(7)
  • ppt테마(3)

바로가기

RCA 독후감 - RCA 관련 독후감 6건 제공

"RCA" 검색결과 81-100 / 1,795건

  • 환자 안전 근본원인분석-self extubation
    개선활동 과제(근본원인분석)문제 정의환자의 기관내 삽관이 비계회적으로 발관되었다발생일시20OO년 O월 O일발생장소중환자실환자 성명정OO환자등록번호123456789문제유형□ 투약□ 낙상□ 수혈□ 수술□ 검사■ 기타□처방오류 □조제오류 □투여오류 □기타( )□검사과정오류 ..
    리포트 | 5페이지 | 3,000원 | 등록일 2021.04.18 | 수정일 2021.06.05
  • A+ [성인간호학실습] CCU case study (STEMI) 케이스스터디
    고령O16. 71세시술*시술명 : CAG & PTCA*시술 부위 : LAD & RCA*시술 절차 :1. ... cranial, RCA caudal veiw를 acquire한 뒤 guide wire 사용하여 left coronary catheter romove함.3. guide wire의 유도로 ... coronary cathter를 left coronary artery에 selection 하였으며, contrast injection을 통해 LAD cranial, LAD caudal, RCA
    리포트 | 28페이지 | 3,000원 | 등록일 2023.05.01
  • 간호관리학실습 간호과정 팀플 케이스 [업무량 과다와 관련된 불충분한 직원의 수/ 대안 부족과 관련된 비효과적 문제해결]
    REPORT”0조“간호관리학 실습교과목 명 : 간호관리학 실습담당 교수 : 000 교수님학과 / 반 :제 출 일 :학번/이름 :전반적 관리현황파악1. 간호단위의 물리적 구조위치 : 0000병원 00병동병상 수 : 총 00Bed (2인실7개, 3인실 8개, 4인실 3개,..
    리포트 | 13페이지 | 2,500원 | 등록일 2023.03.24 | 수정일 2024.05.15
  • A+ [Non-ST elevation myocardial infarction, 비 ST상승 심근경색증] Case study 성인간호학/ 간호진단 7개 / 간호과정 3
    2DE(4.5) EF = 49.4% MI in RCA? C.11 ... 2DE(4.4) : EF=20.4%, ICMP, MI in LAD, RCA territoryMild MR(승모판역류증), Mild AR(대동맥판역류증), Mild TR(삼첨판역류) ... - 사정당시 : 2009.4.4 2DE(심초음파)결과 * EF(jection Fraction, 심박출량) 20.4%(정상 55~75%),2009.4.6 CAG(심혈관조형술)결과 RCA
    리포트 | 27페이지 | 2,500원 | 등록일 2023.05.04 | 수정일 2024.03.25
  • ANGINA1
    Dx, septal, RI -LCx - LCx, OM -RCA - RCA, RV, PDA, PL -LMCA -Graft - LIMA, SVG, GEA, RA Ex) LAD + OM ... and oxygen supply 감소 • coronary artery가 부분적으로 차단되어 심근 의 요구에 대한 충분한 혈액을 공급하지 못하 는 허혈상태Heart Anatomy RCA ... 관상동맥 입구에 위치 시킨 뒤에 조영제를 투여하여 관상동맥 촬영. ② LAD (Lt. anterior desceding: 전하행지), LCX (Lt. circumflex: 좌선회지), RCA
    리포트 | 24페이지 | 1,500원 | 등록일 2020.05.24 | 수정일 2020.05.31
  • [A+받음] 성인간호학 중환자실습 논문요약 과제(CRRT 관련)
    RCA는 특히 치료를 시작할 때 면밀한 모니터링이 필요하며, RCA 관리는 대사성 알칼리증, 대사성 산증 (간 또는 골격근 기능 장애가있는 경우 구연산염이 축적 될 수 있음), 저 ... 그러나 RCA는 출혈이 현저히 적고, 수혈이 적으며, 체외 회로의 연장된 수명과 관련이 있다. ... KDIGO 가이드 라인에서는 구연산염에 대한 금기 사항이 없는 환자에게 헤파린 대신 RCA 사용을 제안하고 있다.
    리포트 | 7페이지 | 2,500원 | 등록일 2022.01.29
  • DM FOOT 고혈당, 상처치유지연의 위험성 간호과정 A+
    RCA: mild diffuse sclerosis7. Peroneal artery: mid segment total occlusion8. glucose ?
    리포트 | 1페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.12.15
  • 17회 판매!!후기좋음! 협심증 A+받은 간호과정 케이스
    , Resolute onyx 2.5Mid-RCA, Resolute onyx 3.5◆EKG 검사-4월 26·27·28·29일 진행◆동맥경화검사-4월 28일 시행약물명성분명약리작용용법/ ... ↑ 산소포화도↑)◆심초음파 검사(4/26)summary : RWMA(+), np pericardial dffusioncoments : ischemic insult of LAD, RCA ... No ISRPRCA 90% stenosis(ISR)dRCA(PL) 80% stenosis(ISR)rec)PCI for pRCA, dRCA(PL)-stent insert : Dist-RCA
    리포트 | 30페이지 | 3,000원 | 등록일 2020.12.17 | 수정일 2024.04.26
  • [종합실습 인수인계보고서] MCCU, NSTEMI
    POBA 2.0/15mm at P-RCA* IVUS? ... RCA: focal eccentric 80% DS at pRCA40% DS at mRCAtotal occlusion at PDA 190% DS PL ? ... Synergy 4.0/20mm at P-RCA, RS : 10%- 혈액검사검사항목07/23(06:48)07/22(06:43)07/21(11:40)RBC3.99▼4.03▼4.140Hb12.7
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.06
  • 15회판매!! A+ 받은 협심증 케이스
    , Resolute onyx 2.5Mid-RCA, Resolute onyx 3.5◆EKG 검사-4월 26·27·28·29일 진행◆동맥경화검사-4월 28일 시행약물명성분명약리작용용법/ ... ↑ 산소포화도↑)◆심초음파 검사(4/26)summary : RWMA(+), np pericardial dffusioncoments : ischemic insult of LAD, RCA ... No ISRPRCA 90% stenosis(ISR)dRCA(PL) 80% stenosis(ISR)rec)PCI for pRCA, dRCA(PL)-stent insert : Dist-RCA
    리포트 | 31페이지 | 2,500원 | 등록일 2020.08.19 | 수정일 2023.11.21
  • 성인간호 지식부족-STEMI 간호진단, 간호과정
    좌심실 후벽의 경색은 우관상동맥(RCA)과 좌관상동맥의 회선가지(LCX)의 폐색으로 온다.ⅱ. 대상자의 질환 관련 증상/징후1. 흉통가장 특징적인 증상은 협심통이다. ... chest pain 발생해 설하로 NTG 투여하였지만 호전되지 않아 10:45 경 119 신고하였으며, 당시 EKG 상 STEMI 관찰되었다.ER 방문해 CAG 하니 19년도에 시행한 RCA ... stent 부위에 stenosis있어서 다시 stent 하던 중, HR 느리고 BP 감소되어 TPM insertion 하였지만 BP 회복되지 않아 norpin 투여하였다.PCI 시행 후 RCA
    리포트 | 1페이지 | 1,500원 | 등록일 2022.04.18 | 수정일 2022.08.31
  • 간호관리학 통제 요약
    환자안전의 접근방법1) 근본원인분석(Root cause analysis, RCA)-위해사건과 근접오류 발생 시 내재된 변이와 관련된 기여요인을 규명하기 위한 방법1.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.18
  • 성인간호학-관상동맥폐쇄질환 case (간호과정 2개)
    LAD, LCX, RCA 중 세 곳 모두 50% 이상 stenosis 가 있는 경우4. ... , RCA 중 두 곳이 50% 이상 stenosis 가 있는 경우(Left main-coronary artery에 stenosis 가 있는 경우 : 2-vd)3) CAOD 3-vd- ... 분류1) CAOD 1-vd- LAD, LCX, RCA 중 한 곳이 70% 이상 stenosis 가 있는 경우(다른 두 곳은 50% 미만이어야 함)2) CAOD 2-vd- LAD, LCX
    리포트 | 19페이지 | 2,500원 | 등록일 2023.01.30
  • 한국 디스플레이 산업기술 발전사
    평판 디스플레이 산업의 시작1888년 액정의 발견 이후 1962년 RCA의 엔지니어인 조지 헤일마이어(George Heilmier)느 액정이 디스플레이에 응용될 수 있다는 가능성이 ... 제시하였고 1968년 RCA에서 불투명한 상태를 투명 상태로 변하는 액정 셀(cell)을 선보이면서 액정 디스플레이(Liquid Crystal Display, 이하 LCD)의 역사는 ... 미국의 RCA와 GE는 NTSC방식과 호환되면서 화질이 우수한 ACTV 방식을 내놓았고, 유럽은 네덜란드 필립스를 중심으로 호환성이 우수하지만 화질은 HDTV보다 못한 MAC방식을
    리포트 | 61페이지 | 2,500원 | 등록일 2023.12.18 | 수정일 2024.07.06
  • 협심증 CASE STUDY
    : P-mRCA diffuse stenosis up to 90% stenosis with heavy calcification 확인되어 바로 PCI 통해 RCA에 stent 삽입술 시행함 ... P-mLAD diffuse stenosis up to 70~80% stenosis with heavy calcification, LCx: OM tubular 70% stenosis, RCA ... LAD: P-mLAD diffuse stenosis up to 70~80% with heavy calcification.√ LCx: OM tubular 70% stenosis.√ RCA
    리포트 | 15페이지 | 2,000원 | 등록일 2022.12.29
  • 중환자실 CAOD 케이스 (간호진단 및 과정 3개)
    분류분류설명CAOD 1VDLAD, LCX, RCA 중 한 곳이 70% 이상 협착이 있는 경우(다른 두 곳은 50% 미만)CAOD 2VDLAD, LCX, RCA 중 두 곳이 50% 이상 ... 협착이 있는 경우(LM에 협착이 있는 경우: 2VD)CAOD 3VDLAD, LCX, RCA 중 세 곳 모두 50% 이상 협착이 있는 경우3. ... Main Coronary Artery), 좌전하행(LAD: Left Anterior Descending), 좌회선지(LCX: Left Circumflex artery), 우관상동맥(RCA
    리포트 | 40페이지 | 5,000원 | 등록일 2020.05.27
  • 안정형 협심증(Stable Angina Pectoris)에 대한 Case Study (A+보장, 병인론, 간호력, 약물, 특수치료, 간호진단 2개, 간호과정 2개)
    P-mRCA diffuse stenosis up to 90% stenosis with heavy calcification이 확인되어 바로 PCI(경피적 관상동맥개입술)을 통해서 RCA에 ... diffuse stenosis up to 70~80% stenosis with heavy calcification, LCx(좌회선동맥) : OM tubular 70% stenosis, RCA ... P-mLAD diffuse stenosis up to 70~80% stenosis with heavy calcification, LCx: OM tubular 70% stenosis, RCA
    리포트 | 18페이지 | 4,000원 | 등록일 2022.09.10
  • 요양병원인증(QPS 발표)
    ( 근본원인 분석 ) 시행 적신호사건 0 건 발생 – 미해당 원인분석 및 개선활동 시행 위해사건 중 낙상 보고에 대한 RCA 1 건 FMEA( 고장유형분석 및 영향평가 ) 시행 다빈도 ... 대한 정의와 보고절차 사업계획 평가의 목적 환자안전사고 단계별 분류 및 환자안전사고 보고 체계 질 향상 활동[7.2 장 – ME 3, 4] 환자안전 사건 분석 및 개선활동 시행 RCA ... 개선활동 적용 주기적 분석 및 공유 - 근접오류 절차에 따른 관리 - FMEA - 위해사건 절차에 따른 관리 - 부서 및 QPS 담당자의 개선활동 - 적신호사건 절차에 따른 관리 - RCA
    리포트 | 25페이지 | 7,000원 | 등록일 2023.04.11 | 수정일 2023.04.24
  • 록펠러빌딩/미국 모더니즘 건축 리포트/A+자료
    경제적 타격, 도심의 흉물로 남을 수 있다는 사회의 의견을 수용해 록펠러 센터는 시민을 위한 공간으로 프로젝트가 재편성되게 된다.현재 록펠러 센터의 마스코트는 가장 중심 건물인 RCA ... 광장이 중심이 되는 설계는 록펠러 센터의 평면 설계안에서도 볼 수 있는데, 가장 중심 건물인 RCA빌딩의 중심축을 기준으로 전면부에 광장, 그리고 그 둘레에 놓이는 건물들은 평면에서부터 ... RCA를 설립하면서 미래에 ‘라디오 시티’를 짓고 싶었던 이 욕망이 록펠러 센터 프로젝트가 시작되면서 이루어지게 된 것이다.록펠러 센터 프로젝트는 처음에는 기존의 상업 건물들과 마찬가지로
    리포트 | 6페이지 | 3,500원 | 등록일 2022.02.23
  • 텔레비전의 역사
    RCA는 1939년 4월 뉴욕의 세계박람회에서 루즈벨트 대통령의 연설을 텔레비전으로 내보내며 세계 2차 대전 이후 미국 텔레비전 사업의 80%를 점유하는 독과점 기업이 되었다. ... 그의 발명은 1년 뒤에 일반인에게 알려졌으며 후에 미국의 거대 방송사인 RCA는 1930년 러시아에서 미국으로 이민한 발명가 블라디미르 코지미치 즈보리킨을 고용하여 텔레비전의 발명을
    리포트 | 4페이지 | 1,000원 | 등록일 2021.05.22
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:26 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대