• 통큰쿠폰이벤트-통합
  • 통합검색(162)
  • 리포트(158)
  • 논문(2)
  • 시험자료(2)

"Timer LCD" 검색결과 81-100 / 162건

  • AVR128-전자피아노(보고서)
    하드웨어로 전자악기를 구현하기 위하여 설계에 임하였다.본 강좌를 통해 학습한 ATmega128의 특성 중 Memory, Parallel Input/Output, Interrupt,Timer ... 만들기로 하였다.제 Ⅱ 장 설 계 내 용2 .1 하 드 웨 어 구 성2 .1 .1 전 체 회 로 도ATmega128을 이용하여 전원 부를 비롯하여, 음향부, Keyboard부, LCD
    리포트 | 18페이지 | 4,000원 | 등록일 2010.07.01
  • Zeebee 원격제어가 가능한 디지털 전자 액자
    또한 웹을 이용하면 전자액자와 불구하고 앞으로 계속 낮아질 LCD 가격 전망에 힘입어 LCD 액자가 가지는 이점이 가격이 싼 일반액자의 장점을 넘어서는 시기를 위해 대기업 뿐만 아니라 ... 작품과제 필요성디지털 사진액자(이하 전자액자)는 디지털 사진파일을 내부에 저장하고, 저장된 사진파일을 TFT-LCD에 출력하는 홈어플라이언스 중 하나이다. ... 작품과제 해결 방안 및 과정Atmega128의 구조 및 동작특성을 이해한 후 TIMER, UART, ADC 및 PORT제어 방법을 습득한 후 RS232통신, 조도센서의 AD값 입력,
    리포트 | 31페이지 | 100,000원 | 등록일 2009.12.06 | 수정일 2015.01.12
  • Door Lock 프로젝트 계획서
    제작 내용마이컴을 이용한 Door Lock 제작Character LCD를 이용한 화면 출력Switch를 ID 및 Password 입력Sub Motor를 제어하여 Open, Close ... 기존 16F877A 보다 큰 P.Memory제공2. 10MIPS의 처리속도 제공3. 16bit Timer 3개 제공 및 10bit PWM제공..PAGE:8Program Tool4.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.04.19
  • DSP를 이용한 설계(에스컬레이터)
    \lcd2811.h"#include "..\key2811.h"#include "..\delay28x.h"#include "..\dac2811.h"#include ".. ... more interrupts from group 1PieCtrlRegs.PIEACK.all = PIEACK_GROUP1;}voidkey_process(){// 동작대기모드의 키프로세스lcd_gotoxy ... = 0; // Timer1 counter// TMODE = continuous up/down// Timer enable// Timer compare enableEvaRegs.T1CON.all
    리포트 | 28페이지 | 20,000원 | 등록일 2010.06.08 | 수정일 2023.10.12
  • 실험보고서 마지막
    INT 8개- 두 개의 8-bit Timer/Counters / 두 개의 16-bit Timer/Counters- 두 개의 8-bit PWM 채널 / 6개의 16-bit PWM 채널과 ... volatile unsigned char run_flag;unsigned char cursor, dayni, min_alm, hour_alm, counter,column;void LCD
    리포트 | 10페이지 | 1,500원 | 등록일 2011.08.06
  • LCD 제어 최종보고서
    Watchdog Timer : 일정시간동안 Clear 시키지 않으면 시스템 인터럽트를발생시켜 프로세서를 초기화시킴.12. Analog Comparator13. ... LCD 최종보고서LCD 디지털시계의최종 보고서로 제출합니다.2007년 11월 30일학 번 :성 명 :제 1 장 개 요1.1 실험 과제 명 및 개발 기간1.2 개발 목적 및 목표제 2 ... 그리고 LCD 를 사서 기판과 LCD 결합에 성공 나머지는 칩에소자가 망가져 있었고 ATmega128 칩도 납땜을 잘 못하여 처음 기판은 버릴 수 밖에 없었다.
    리포트 | 28페이지 | 2,500원 | 등록일 2011.11.16
  • mouse.c 설명
    set_sleep_mode, sleep_mode 정의#include // uint8_t, int8_t 등이 정의// 마우스에서 사용하는 헤더 파일#include "cLcdP4.h" // lcd ... 런// 왼쪽/오른쪽 180도 스텝이 끝날 때 까지 루프while(Rstep < TEST_U_STEP);// 정지(여자 상태에서 정지)Ldir = Rdir = STEP_BRAKE;Timer1 ... Lstep = Rstep = 0;// 감속이 끝날 때 까지 루프while((Lstep < 50) && (Rstep < 50));// 정지Ldir = Rdir = STEP_BRAKE;Timer1
    리포트 | 12페이지 | 1,000원 | 등록일 2010.12.07
  • PLC MPS 실습보고서
    시리즈는 폭 넓은 전원 전압 사양으로 각종 IC, 릴레이, 프로그래머블 컨트롤러 등에 접속이 용이하며, 투·수광부를 창으로 보호해 먼지가 많은 생산 현장에서도 높은 신뢰성을 발휘.LCD ... 금속감지CONVLocal:3:O:Data.0BOOL컨베이어ASTOPLocal:1:I:Data.15BOOL전체정지STARTLocal:1:I:Data.9BOOL시작버튼Stop1TIMERRES1TIMER타이머초기화SOL1Local
    리포트 | 14페이지 | 2,000원 | 등록일 2014.11.11
  • ATmega와 Servo모터를 이용한 바둑알분류 시스템설계
    [그림 ] DC모터void Timer_PWM_Init(void) //DC모터제어용{DDRB = 0xff;TCCR1A = (1 ... LCD 디스플레이를 통해 바둑알이 분류된 개수를 표현하며 회전판 딜레이 속도를 디스플레이로 나타내어 눈으로 보고 정확하게 속도를 조절할 수 있도록 한다. ... 전압 분배기를 통한 전압분배로 모든 장치에 전원을 공급하며 ATmega128의 동작주파수 범위는 16Mhz이며 아래의 회로도에서 데이터 버스 4~7번과 PA0~2까지는 LCD와의 연결을
    리포트 | 13페이지 | 8,000원 | 등록일 2010.12.10
  • 휴대용 온,습도계(Thermal-Hygrometer DFT-700M)의 사용방법
    (MEMO No. key는, 측정 모드될 때까지 누른다)메모리 기능에서 기억한 모든 데이터를 소거한다.Back ground 기능DFT 주위가 어둡고 LCD 표시기가 보기 어려울 경우 ... start 온도이상이 되면, 설정한 timer가 count down을시작하고, timer out과 동시에 PV표시기의 데이터를 hold하고, 점멸표시 한다. ... (hold 값은 센서 단선에 관계없고, timer out 때의 값을 표시한다)단, 점멸표시를 해제, 또한 전원 ON후, 그때의 표시 온도가, timer start 온도설정으로 설정된
    리포트 | 10페이지 | 1,000원 | 등록일 2010.09.22
  • 8051을 이용한 다기능 디지털 시계 만들기
    소 스 (2)void main(void) // Main문 시작{Lcd_Clr(); //LCD 초기화GLCD_Initialize(); //GLCD 초기화Init_Config(); // ... 소 스 (4)void Init_Config(void){// 레지스터 세팅}void Timer0_ISR() interrupt 1{// 디지털 시계(모드1)에 이용// 8비트 오토리로드 ... , t0 count : 1600회시 -> 1초 증가}void Timer1_ISR() interrupt 3{// 스탑워치(모드2)에 이용// 8비트 오토리로드 t1 count : 16회시
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
  • AVR 디지틀 시계(C사용)
    (void);void LCD_String(char str[]);void timer_init(void);void LCD_init(void);void LCD_out(void);void ... time_inc(void);void day_inc(void);void main(void){LCD_init();// LCD 초기화timer_init();// 타이머 초기화External_Interrupt_Init ... ();// 인터럽트 초기화LCD_out();// LCD 출력SREG = 0x80;// 전역 인터럽트 설정while(1);// 무한루프}interrupt [TIM0_OVF] void
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • 엘리베이터 제어
    timer2의 프리스케일러 분주비를 1:16으로 설정하면 (분주비가 작을 경우 PR2가 8bit를 벗어남)P ... R_cnt;......}1초가 시작되는 시점과 끝나는 시점에서의 카운트값의 증분량을 계산하면 1초동안의 회전수를 알 수 있다.μP에 전원이 인가되고 전체인터럽트가 Enable되는 순간 timer0가 ... 1000 = 1mS period를 만들어야함. (1mS = 1000μS)4tosc = (1/4000000)×4 = 1μSPWM period = [(PR2) + 1] × 4tosc × (timer2
    리포트 | 25페이지 | 3,000원 | 등록일 2008.07.31
  • ADC 사용 및 그 응용
    Gene.를 연결하고 위와 동일한 수식을 이용하여 다음 코드를 Timer Interrupt루틴에 삽입한다.위 코드는 ADC1 포트의 입력 값을 그대로 DAC0에 출력하는 함수이다.이번에는 ... A0 전압값에 따른 LCD output값의 변화오실로스코프로 ADC0에 연결된 가변저항을 통해 전압을 측정하여 위 표를 작성하였다. 인가된 전압대비 LCD표시 값이다. ... 코드는 기존 것을 그대로 활용한다.A0 전압LCD output0.0610x0.526x1.054x1.580x2.0ABx표 1.
    리포트 | 6페이지 | 3,000원 | 등록일 2010.06.02
  • [기전공학실험]기전공학실험보고서-최종과제(A+자료)
    LCD 외관표3. LCD 핀 연결 표2. ... 소프트웨어 알고리듬(2) Timer 기능을 사용한 모터의 속도 조절Elevator System에서 사용한 Timer는 8Bit 를 사용하였으며, 256분주비, CTC모드를 사용하였다 ... 하드웨어1(1) 전체 하드웨어 구성1(2) 세부 하드웨어 구성11)MCU12)구동부23)Sensor34)Switch45)LCD52.
    리포트 | 18페이지 | 4,000원 | 등록일 2008.03.06
  • DSP를 이용한 컨베이어벨트 설계.
    \lcd2811.h"#include "..\key2811.h"#include "..\delay28x.h"#include "..\dac2811.h"#include ".. ... = 0; // Timer1 counter// TMODE = continuous up/down// Timer enable// Timer compare enableEvaRegs.T1CON.all ... // Initalize EVA Timer1EvaRegs.T1PR = 4095; // Timer1 periodEvaRegs.T1CMPR = 0; // Timer1 compareEvaRegs.T1CNT
    리포트 | 23페이지 | 2,500원 | 등록일 2009.10.14
  • AVR을 이용한 원격제어 도어락 시스템 제작(ATmega128)
    가능한 1x, 10x, 200x의 Gain-Byte 지향의 두선 직렬 인터페이스 제공-두 개의 프로그램 가능한 직렬 USART 제공-프로그램 가능한 워치 독 타이머(Watchdog Timer ... 리모컨에 이전채널버튼을 눌렀을 시 LCD창에 Door Close가 뜨며, 서보모터는 90도로 움직이며, 전원>1>2>3>4>- 순서로 눌렀을 시 LCD창에 Door Open이 뜨며, ... LCD제 Ⅲ장 원격 도어 락 시스템 제작 및 동작 방법1. 송 · 수신부2. 서보모터3.
    리포트 | 47페이지 | 10,000원 | 등록일 2011.01.10 | 수정일 2022.08.05
  • ATmega128 로 구현한 교차로 신호등
    //65536(1/16us * 65536 * 256 = 1024ms)SREG = 0x80; //전역 인터럽트 인에이블while(1);}SIGNAL(SIG_OVERFLOW1) //TImer ... 소스#include #include // LCD 모듈로 접근하기 위한 외부 메모리 주소 선언#define LCD_EN (*(volatile unsigned char *)0x8000) ... 이 때, 보행자용 신호도 횡단 신호로 변경되고, 횡단 가능 시간을 LCD에 나타낸다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.06.08
  • 임베디드(embedded) - stopwatch using Windows CE (버튼입력,seven segment출력)
    interrupt ThreadLCDThreadProc : LCD 출력 ThreadFndThreadProc : 숫자 출력 Thread동작에는 총 3개의 상태가 존재한다. ( 입력, ... 이용하여 구현하였다.WINAPI WinMain : Main TreadIntrThread : 숫자 버튼 switch 입력 받는 ThreadIntrThread2 : 시계 동작을 위한 Timer
    리포트 | 13페이지 | 2,000원 | 등록일 2011.01.11
  • FND 14개를 사용한 디지털 시계
    그러나 LCD에 비해서 회로가 복잡해지고, 디스플레이에 제약이 있다는 것이 단점으로 작용한다. ... 각각의 장점을 어느 정도 수용 할 수 있는 휴대 전화나 디지털 카메라에 많이 사용되는 TFT LCD를 이용해서 디지털 시계를 개선할 예정이다. ... , 일, 시, 분, 초 데이터를 저장하는 변수이다.결과< 시간 설정 후 모습 >< 빛을 차단해서 FND를 강조 >향후 계획디지털 시계에 FND를 사용하는 경우, Character LCD
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대