• 통큰쿠폰이벤트-통합
  • 통합검색(947)
  • 리포트(896)
  • 시험자료(32)
  • 자기소개서(11)
  • 방송통신대(7)
  • 논문(1)

"mux" 검색결과 81-100 / 947건

  • vhdl 4x1 mux 코딩 다른버젼
    MUX symbol을 사용하는것보다는 과정이 복잡하긴 하지만 정확한 계산값을 통해 역으로 추론해 나가는 과정이니 만큼 실수를 줄일 수 있었고 ... '+ABC'+A'BC'+ABC'+ABC+ABC'=AC'+BC'=AB※결론 및 고찰4x1 MUX를 주어진 결과 값 만을 보고 역으로 맞춰 가는 과정이었다. ... 학과 : 전자재료공학과학번 :이름 :과제 5. 4 X 1 MUX 구현(진리표,K-MAP,LOGIC DIAGRAM,SIMULATION)< TRUTH TABLE> < K-MAP >F=AB'C
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 4X1 MUX를 이용하여 FA구현하기
    4X1 MUX를 이용하여 FA구현하기1. 4X1 MUX의 구현2. 4X1 MUX를 이용한 FA의 구현⑴ 진리표S0S1C0CS0*************10111010001101101101011111⑵
    리포트 | 2페이지 | 1,000원 | 등록일 2008.06.03
  • 디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함
    디코더와 MUX 예비, 결과레포트, velilog 파일 첨부 포함베릴로그 파일 원본까지 모두 첨부해서 했습니다.
    리포트 | 1,000원 | 등록일 2008.11.27
  • VHDL을 이용한 8X1MUX, 1X8DEMUX 실험보고서
    1. 8x1 MUX - 8X1 MUX 설계 EX) I 입력은 Bus switch로 S 입력은 Button Switch로 Y 는 LED로entity MUX_LSI ... 0과 1만 인식 가능함 Y : out STD_LOGIC);end MUX_LSI ... ;architecture Behavioral of MUX_LSI isbegin process(D, S) begin case S is
    리포트 | 10페이지 | 2,000원 | 등록일 2011.06.22
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    전자전기컴퓨터설계실험IIIPOSTLAB REPORT[MUX and DEMUX]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l "실험소개" 1. ... 실 험 결 과 (Result) HYPERLINK \l "design1" 1) Design a 2x1 MUX HYPERLINK \l "design2" 2) Design 74LS138 ... 실 험 소 개 (Introduction)1) Purpose of the Experiment- 조합논리회로를 이용한 MUX를 이해하고 설계를 한다.- 조합논리회로를 이요한 DEMUX
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • Decoder, Segment, MUX, 예비, 결과레포트 및 베릴로그 소스
    Decoder, Segment, MUX, 예비, 결과레포트 및 베릴로그 소스
    리포트 | 1,500원 | 등록일 2008.11.27
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    화면enable이 초기 값을 가상으로 0을 가진다는 것, 핀들이 정확한 위치를 할당하지 않았다는 것, 출력핀이 커패시턴스를 할당하지 않았다는 것 등의 경고문들이 있었다.2. 4x1 MUX ... Behavioral Modeling코드시뮬레이션 결과4x1 MUX(Multiplexer 또는 데이터 선택기)는 select 입력에 따라 in_0~in_3 중에 데이터를선택하는 장치이다
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • VHDL 4_1 MUX 설계 ,4가징 방식.
    응용논리회로담당교수 :소 속 : 전자공학부학 년 :학 번 :이 름 :1. writerNOID NumberdesignerdesignphonemailMUX4_12. source code1) Mux4 ... _1_if--/*************************************************************/-- MODULE: Mux4_1_if---- FILE NAME ... : Mux4_1_if.vhd-- VERSION: 1.0-- DATA: October 24, 2007-- AUTHOR: Doyoung Kim------ CODE: behave level
    리포트 | 18페이지 | 1,000원 | 등록일 2007.12.02
  • MUX의 회로를 NOT, NAND 게이트로 구성된 회로(결과보고서)
    실제 입력단자로 사용한 A, B 단자는 MUX에서 출력단자를 결정해주는 select 단자인데 이를 이용하여 전가산기를 구성하였다.- 실험 3은 MUX 153 소자를 이용해서 전감산기를 ... 다른 점은 멀티플렉서의 선택선이 디코더의 입력이 되고 AND 게이트의 입력에는 선택선 이외에 MUX의 입력이 있다는 것이다.. ... 멀티플렉서의 특징에 대해서 알아보면 일반적으로 2×1 MUX는 n×2디코더에 2개의 입력을 추가하여 각 AND 게이트에 하나씩 연결하면 된다는 것.
    리포트 | 7페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • mux, demux , decorder 의 분석
    멀티플렉서 (MUX : multiplexer)①멀티플렉서의 정의멀티플렉서 또는 먹스(MUX : multiplexer)는 여러 회선의 입력이 한 곳으로 집중될 때 특정 회선을 선택하도록 ... 화상회의 시스템 등 각종 통신망을 데이터 통신망과 결합시켜 혼합 운용해주는 T1급 먹스가 일반화된 추세이다.통상 MUX는 STDM(통계적 시분할방식)기법이나 TDM(시분할방식)기법을
    리포트 | 6페이지 | 1,000원 | 등록일 2003.05.12
  • verilog 로 구현한 adder 와 mux 각각의 코딩방법에 따른 비교 [code 포함]
    논리회로 또는 vlsi verilog 기초입니다.adder 와 mux 를 dataflow, behavior , gate level 로 구현하였습니다.
    리포트 | 9페이지 | 1,000원 | 등록일 2010.07.21
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    목 차< 초록 (Abstract) >‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 11. Introduction (실험에 대한 소개) ‥‥‥‥‥‥‥‥‥‥‥‥ 1가. Purpose of this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 1나. Essential Background..
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥14< 초록 (Abstract) >이번 실험은 1bit 16x1 mux / 1x16 demux와 4bit 4x1 mux / ... Mux와 dumux는 select signal 값에 따라 출력이 달라짐을 확인하였고, 또 그 값이 예상했던 대로 나왔다.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... Describe the MUX and DEMUX in details(1) 멀티플렉서멀티플렉서 (이하 먹스, MUX)는 여러 개의 입력 중 원하는 입력을 출력으로 연결하는 일종의 데이터 ... Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력은 i0와 i1의 두개의 bit이고, 출력은 Z이고 1bit이다. 2x1
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • (vhdl소스)and or not latch mux 플립플롭 인코더
    -AND Logic1.VHDL코드 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity and_ent is Port ( i..
    리포트 | 16페이지 | 2,000원 | 등록일 2008.11.23
  • [공학]VHDL - MUX, DEMUX, COMPARE, ENCODER, PARITY, DECODER
    Library ieee; use ieee.std_logic_1164.all; entity muxp is port(s : in std_logic_vector(2 downto 0); I0, I1, I2, I3..
    리포트 | 4페이지 | 1,000원 | 등록일 2006.11.04
  • VHDL mux와 demux를 signal을 이용하여 연결한 소스 및 파형
    1. signal, variable, Constant의 특징1) signalsignal은 VHDL 합성시에 선(wire)으로 구현되며, 각 부품(component)의 연결에 사용되는 외적 변수이다. 객체에 값을 대입하기 위해서는 대입기호 `
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.14
  • [VHDL 설계] 2-TO-1 MUX 와 7-segment 설계
    ;architecture mux2 of mux1 is -- 입출력 관계 정의beginprocess(s) -- select의 ... Problem) 2-TO-1 MUX와 7-segment를 각각 text Design(VHDL)으로 설계하여 검증(simulate)을 하고 각 symbol을 이용하여 아래 그림과 같이 ... 설계하고 다시 검증(simulate)하시오.▶ 2-TO-1 MUX① text Designentity mux1 is -- 입출력 포트의
    리포트 | 3페이지 | 1,000원 | 등록일 2009.02.08
  • 4개의 입력과 1개의 출력을 가지는 회로를 and, or, not, nand, MUX, decoder를 이용한 설계
    회로도(4) Multiplexer 회로2 X 1 MUX만을 이용한 회로이다.SBDABCDCC011DD0C4. 회로도5.
    리포트 | 20페이지 | 5,000원 | 등록일 2010.06.24
  • CMOS 기반의 1 to 4 MUX Pspice(피스파이스) Simulation
    【 목 적 】 - Pspice를 통하여 CMOS MUX의 시뮬레이션을 통하여 동작 및 특성을 고찰한다.【 수 행 계 획 】 - MbreakN/P MOS 소자를 주어진 Schematic을 ... 먼저 본 회로에 주어진 2개의 Select 신호가 만들어 낼 수 있는 선택 Port의 수는 22의 크기를 가질 수 있기 때문에 총 4개의 MUX 출력 Port를 가질 수 있다. ... 기능에 따른 구분은 출력을 선택하는 신호를 만들어주는 Inverter와 만들어진 선택신호의 선택 및 출력신호 스위칭의 기능을 구현하는 NOR 부분으로 구분할 수 있다. - 이 부분은 MUX
    리포트 | 4페이지 | 1,500원 | 등록일 2007.03.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대