• 통큰쿠폰이벤트-통합
  • 통합검색(9,381)
  • 리포트(8,910)
  • 시험자료(248)
  • 논문(77)
  • 자기소개서(71)
  • 방송통신대(56)
  • 서식(8)
  • ppt테마(6)
  • 이력서(5)

"segment" 검색결과 81-100 / 9,381건

  • VHDL, ABEL - 7 segment
    ;"C[1,1,1,0,1]->[0,0,0,0,0,0,0];"d[1,1,1,1,0]->[0,0,0,0,0,0,0];"E[1,1,1,1,1]->[0,0,0,0,0,0,0];"FEND seven_segment ... ☞ 시뮬레이션 파형을 보면, 설계한 진리표와 결과가 일치하는 것으로 보아, 16진수를 표시하는 7segment decoder로써 동작함을 알 수 있다. ... std_logic;DCBA: in std_logic_vector (3 downto 0);Y: out std_logic_vector (6 downto 0) --Y=abcdefg);end
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 7segment
    seven_segment;ARCHITECTURE mixed OF seven_segment IS //아키텍쳐선언FUNCTION dis_seg(cnt : integer range 0 ... 즉, 캐소드형과는 반대로 출력된다.■ VHDLLIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY seven_segment IS //엔티티선언PORT ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008.
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 7-segment 동작구현
    Report< 7-Segment 구동 >과 목 : 디지털시스템설계교 수 : 정진균 교수님일 자 : 2011년 11월 15일학 번 : 200711061이 름 :김성현7-segment ... .2. source10 가지 state에 따라서 각 숫자를 지정해줍니다.00711061을 보이도록 각 세그먼트를 지정해주며, 최종적으로 1010이후의 상태는처음으로 돌아가도록 지정해주는 ... 소스형태입니다.3. sourcekit에서 쓰이는 CLK는 매우 빨라 값을 확인하기에 불편함이 있으므로,CLK를 조정하는 모듈을 설계합니다.4.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.28
  • Encoder .Decoder. 7-segment 결과레포트
    LED1) 7-segment LED 회로를 Quartus II를 이용하여 구현한다.[ 그림 ] 7-segment LED 회로 구성- Seven .v 파일을 불러와서 logic에서 ... 결 과 보 고 서7주차Encoder / Decoder / 7 - segment LED1. ... symbol을 추가한다.( Input : i3, i2, i1, i0 , Output : d6, d5, d4, d3, d2, d1, d0 )2) 7-segment LED 회로를 DE2
    리포트 | 14페이지 | 2,000원 | 등록일 2014.01.06
  • 7주차 Decoder / Encoder / 7-segment LED
    .- 7-segment LED decoder를 이해하고, 특성을 실험으로 익힌다.실험과정1.4X2 encoder의 회로를 구현하기 위해 Quartrus ll를 이용하여 회로를 구현
    리포트 | 10페이지 | 1,000원 | 등록일 2014.10.12
  • 논리회로설계실험 프로젝트 7 segment 스탑워치
    BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment의 내부 구조위 그림은 7segment의 내부구조이다. ... 그리고 주어진 dc코드와 출력코드를 이용해서 6자리의 7segment에 순서대로 출력하였다. 다음으로는스탑을 구현하는 방법이다. ... 표시common cathode 방식의 7segment는 표시하길 원하는 획의 데이터가 ‘1’, 끄기를 원하는 데이터를 ‘0’으로 입력한다.
    리포트 | 15페이지 | 3,000원 | 등록일 2015.04.17 | 수정일 2016.03.26
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    BCD-to-7 segment 구현[표 2] BCD-to-7 segment 진리표[그림 3] BCD-to-7 segment 시뮬레이션 결과 (입력 : A | 출력 : P,P10)3. ... ;#10 A=13;#10 A=14;#10 A=15;#10 A=16;#20;endendmoduleBCD-to-7 segment 테스트벤치 코드7-segment Controller는 클럭과 ... b1(D[3:0],seg1[6:0]);BCD_to_segment1 b2(D[7:4],seg10[6:0]);endmoduleBCD-to-7 segment 구현 코드`timescale
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표 3. 7 segment Controller 구현 < SevenSeg_CTRL 사용 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • VHDL을 이용한 설계-7 segment
    segment: out std_logic_vector (6 downto 0) --segment ... 클럭 신호에 따라서 segment의 출력이 결정되기 때문에 클럭이 입력, segment가 출력이 된다. ... 클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment
    리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 심장내과 CV 실습 CASE <Non-ST segment Elevation Myocardial Infarction>
    [REPORT]NSTEMI(Non-ST segment Elevation Myocardial Infarction)목차Ⅰ. ... -부정맥, 심부전의 조기대처, 심장쇼크의 조기발견에 노력하며, V/S 측정, 심전도 관찰, I/O체크하고, 몸을 움직이지 않아서 나타나는 2차적 장애(욕창, 정맥혈전증, 침하성 폐렴 ... 이상소견부위정상/비정상시 특징기술-피부: 색깔(창백, 발진, 박리, 청색증, 황달)윤기(건조, 축축, 비닐처럼 일어남,번질번질) 탄력성,손톱(색, 모양)cold sweating법 및
    리포트 | 37페이지 | 3,000원 | 등록일 2016.07.18
  • 7-segment
    아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 변환하여 FND에 출력한다
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 7-segment켜기
    7-segment 켜기- 목적슬라이드 스위치 3개를 이용하여 배운 이론으로 7-segment를 0부터 7까지 켜는 논리회로도를 설계한다.1.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.11.30
  • TCP segment/ IP packet 관찰
    접속한 뒤stop하여 TCP segment와 IP packet을 관찰하였습니다.2. ... 아래 프로그램을 설치하고 TCP segment/ IP packet 관찰하는 실습을 하고, 5쪽 이내의 실습보고서를 ( 2009.5.12) 까지 제출하시오.1)Windows 환경WinPCap ... Header length가 20 byte인 것으로 보아 option이 없다는 걸 알 수 있다.> Transmission Control Protocol> TCP segment 구조Source
    리포트 | 5페이지 | 1,000원 | 등록일 2011.01.05
  • 7segment디지털회로실험보고서
    직접 눈으로 우리가 설계한 카르노맵을 이용하여 브레드보드에 IC 소켓을 배열하고 7segment에 0~F.가 표시 됐을 때는 조원 모두가 흥분을 감추지 못했다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.12.01
  • 성인간호학 내과계 중환자실 (MICU) 실습 - STEMI(ST-segment elevation myocardial infarction) 케이스 스터디
    ~45검사명결과치단위참고치Prothrombin Time3/203/21PT sec12.713.4sec11.9~14.8PT %113100%72~122PT INR0.941.000.87~1.2 ... LAD - proximal to mid : diffuse stenosis up to 20-30% distal : normal 4. ... ~20.7검사명결과치단위참고치aPTT3/203/203/213/213/213/213/213/223/2229.446.0▲68.3▲56.9▲49.8▲79.6▲50.8▲66.6▲53.7▲sec29
    리포트 | 17페이지 | 2,000원 | 등록일 2019.06.26 | 수정일 2019.06.28
  • BCD-seven segment
    BCD를 seven segment로 변환하는 회로를 설계하시오.sol)library ieee;use ieee.std_logic_1164.all;entity bcd_7_seg isport ... ( x:in std_logic_vector ( 3 downto 0 ); seg:out std_logic_vector ( 6 downto 0 ));end bcd_7_seg ... ;architecture test of bcd_7_seg isbeginprocess(x)beginif ( x="0000") thenseg
    리포트 | 2페이지 | 2,000원 | 등록일 2009.06.05
  • 세그먼트(7segment) 실험보고서
    목적- 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 대해 실험한다.3. ... 이번 실험으로 7segment decoder의 동작 원리를 알게 되었다.- 이번 실험은 7segment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 ... 대해 실험했다. 7segment를 제어하기 위해서는 8개의 포트가 필요하 다.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 논리회로설계실험 FND(Flexible Numeric Display)제어 7 segments
    Lec #8. FND(Flexible Numeric Display) 제어- 7 segments -1. ... )를 이용한다.① 특성 세그먼트 선택하고 그 세크먼트에 디스플레이할 데이터 출력② 다른 세그먼트 선택하고 그 세그먼트에 디스플레이할 데이터 출력5) Digit 신호를 사용하여 각 segment마다 ... ABCDEFG*************0002110110131111001401100115101101161011111711100108111111191111011('1'-ON,'0'-OFF)3) segment제어를
    리포트 | 5페이지 | 1,500원 | 등록일 2015.07.07
  • 7-segment 구동실습
    고찰우리 주변 시계나 엘리베이터 숫자 등을 많이 표현하는 7 -segment를 표현하는 논리회로도를 작성해봄으로 인해서 그동안 접해보지 못했던 진리표를 통한 카르노맵 작성, 불대수를 ... 또한 여러 가지 변수들을 고려할 수밖에 없는 논리식 작성에 있어서 불대수를 이용하지 않고는 불가능 하였을 것이다. 3변수를 통한 7-segment를 0~7까지 조작할 수 있도록 논리회로도를
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.01
  • CodeVisionAVR을 이용한 전자판설계(7segment,스위치)
    Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결ⅲ. ... Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결Ⅵ. ... Switch - IN74LS14N - SN74LS47N - 7-segment 연결ⅱ. Switch - ATTINY23BU-10SU - 7-segment - PC 연결ⅲ.
    리포트 | 21페이지 | 1,000원 | 등록일 2013.05.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대