• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,941)
  • 리포트(9,384)
  • 시험자료(645)
  • 자기소개서(325)
  • 서식(296)
  • 방송통신대(234)
  • 논문(39)
  • 이력서(7)
  • 노하우(6)
  • ppt테마(4)
  • 기업보고서(1)

"가산기" 검색결과 101-120 / 10,941건

  • <논리회로실험>가산기와크기비교기
    결 론4비트 2진/Excess-3 코드 변환기의 설계와 구현 및 테스트를 해보는 실험을 했다.설계를 위해 가산기와 비교기를 사용하였고, 가산기는 입력 A와 B에 대해 각각 더할 숫자의 ... 가산기와 크기비교기**전자공학과adder and magnitude comparator**Electronic EngineeringⅠ. ... BCD가산기는 그의 내부 구조에 보정 논리를 포함하고 있어야 한다. 2진식 합에 0110을 합하려면 제 2의 4비트 2진식 가산기를 쓴다.두 수의 비교는 한 수가 다른 수보다 큰가,
    리포트 | 3페이지 | 1,500원 | 등록일 2015.12.14
  • 가산기 결과
    결과 레포트를 적으면서 2-bit 2진가산기의 계산식에 대해 더 확실하게 이해할 수 있었으며, 전가산기에 대하여 많은것을 배우고 이해하는 시간을 가지게 되었다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 가산기가산기 어셈블리어
    Full Adder ( 전가산기 )전가산기는 (full adder) 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 하나. 온 덧셈기라고도 한다. ... 컴퓨터는 전가산기를 반가산기라고 하는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.1) 진리표2) 회로도3) 논리식2. ... 전가산기는 3개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • 가산기 결과
    시간이 부족하여 직접 실험을 통해 알아볼수 없었던 점에서 많이 아쉬움을 느끼지만, 결과 레포트를 적으면서 2-bit 2진가산기의 계산식에 대해 더 확실하게 이해할 수 있었으며, 전가산기
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 가중가산기와 차동증폭기
    가중가산기와 차동증폭기(예비레포트)1.실험 목적연산 증폭기를 이용한 가중 가산기 회로와 차동 증폭기 회로를 실험을 통해 이해한다.2.기본 이론1)가중 가산기위의 회로는 가주중 가산기 ... -가중 가산기 회로를 해석하는 절차1. 연산 증폭기에 부궤환이 형성되어 있는지를 조사한다.2. ... -가중 가산기 회로의 해석1. 단계1,2 → 연산 증폭기에 부궤환이 형상되어 있으므로 V+ = V-이다.2.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.04.07
  • 가산기 & 전가산기 결과보고서
    가산기 & 전가산기1. 실험 목표간단한 1비트 2진수 합이 가능한 반가산기와 2비트 이상의 2진수 합이 가능한 전가산기의 동작 특성을 이해하고, 이를 설계한다. ... 고찰- 간단한 실험이었음에도 처음 반가산기의 동작적 모델링을 코딩할 때 sum과 carry의 변수 설정을 잘못한 것을 놓치고 시간 소모를 많이 하였다. ... 코드2) 테스트 벤치 코드3) Wave Form(4) 결과 분석- 예비보고서에서 작성했던 코드를 VHDL에서 작성하여 시뮬레이션을 돌려본 결과 전가산기의 진리표를 만족하는 결과가
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 7. 가산기감산기예비보고서
    ][가산기 PSpice simulation]4. ... 전자 회로 실험 ⅡOP-Amp 실험 회로가산기/감산기예비 보고서1. 실험 목적아날로그 가산기에 대한 회로 동작을 습득한다.2. ... Pspice 시뮬레이션1) 가산기- Vin = V1 = 3V, V2 = 3V- Vcc = 10V- Vee = -10V- R1,R2,RF = 1kOMEGA ,[가산기 PSpice circuit
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.29
  • 04.15 실험 8 가산기와 크기비교기
    4-비트 병렬 가산기를 두 개 사용할때, 다음과 같이 연결하면 된다. ... 구성하는 실험이었다.7483A 가산기와 7485 비교기를 통해서 입력이 9 이하면 0011을 더해서 출력, 입력이 9보다 크면 1001을 출력하는 회로를 구성하였다.이 변환기처럼 ... 마찬가지로 비교기는 XNOR게이트를 통해 2-비트 비교기를 만들 수 있다.실험후 퀴즈1) 두 개의 8-비트 수를 더하기 위하여 두 개의 7483A 가산기를 어떻게 캐스캐이드 연결하면
    리포트 | 2페이지 | 1,000원 | 등록일 2016.04.16
  • 디지털 로직 실험 가산기와 크기비교기
    실험 11 가산기와 크기 비교기1. ... 이번 실험의 목적은 가산기와 크기 비교기의 정확한 사용법과 이를 이용한 회로구성이었다.각 회로의 구성요소를 살펴보면, 우선 가산기 7483A는 입력되는 A의 값과 B의 값에 따라 각 ... 사용 부품7483A 4비트 2진 가산기7485 4비트 크기 비교기7404 6조 인버터LED 5개4조 DIP 스위치 1개저항: 330Ω 5개, 1.0㏀ 8개3. 실험 순서1.
    리포트 | 14페이지 | 1,000원 | 등록일 2015.07.20 | 수정일 2015.07.29
  • 가산기 & 전가산기 예비보고서
    가산기 & 전가산기1. ... A와 B를 첫 번째 반가산기에 연결하고 그 출력값을 두 번째 반가산기의 입력에 연결한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력 X, Y, 자리올림수 입력 (Cin), 출력 (S), 자리올림수 출력 (Cout)의 변수로 회로가 구성된다.전가산기
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 결과 가산기 & 감산기
    측정에 있어서 별다른 오류는 없었다.[ 고찰 및 분석 ]반가산기, 전가산기, 반감산기, 전감산기 총 4개의 연산기를 구현하여 그 동작에 대하여 직접 관찰해 보는 실험을 하였다. ... 하지만 반가산기를 구현하는 것에 이번 실험의 목적을 두고 있었기 때문에 크게 문제가 되지 않았다.다음으로 전가산기를 구현하였다. ... 전가산기는 반가산기의 약점인 Carry in까지 모두 고려해 주기 때문에 기본 2개의 input외에도 1개가 더 추가되어 3input의 형태를 가진다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.12.26
  • 디지털공학실험 05. 가산기 ALU 예비
    실험목적반가산기와 전가산기의 원리를 이해한다.반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부상용 ALU의 기능을 이해상용화된 4비트 ALU를 이용하여 두수의 가감산을 ... 실험함으로써 ALU의 동작과 응용 확인이론.반가산기1비트의 이진수를 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. ... 이떄 두 개의 수 A,B를 합해서 나온 합과 자리올림이 발생한다.전가산기두개의 이전수와 아래 자리에서 발생한 자리올림수를 더해주는 회로를 전가산기라 한다 즉 3개의 입력과 2개의 출력을
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 디지털공학실험 05. 가산기 ALU 결과
    6-6 반가산기 회로의 진리표]ABCiCSLLLLLLLHLHLHLLHLHHHLHLLLHHLHHLHHLHLHHHHH[ 표 6-7 전가산기 회로의 진리표 ]이번 실험은 반가산기, 전가산기의 ... 그리고 또 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법, 또 상용 산술논리 연산장치의 기능을 이해할 수 있었다. ... 이번 실험도 실험값 모두 이론값과 일치하여 정확한 실험을 했었다.또, 이번 실험을 통해 반가산기와 전가산기의 원리를 이해할 수 있었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.29
  • 4비트 전감가산기
    적당한 보수기와 가산기만을 이용한다.- 2의 보수 : 1의보수를 취하고 최하위 비트에 1을 더하여 얻어질 수 있다.※ 전감가산기 시뮬레이션 / 결과- 가산기 회로에서 S 입력과 B0 ... 설계 제목 - 4비트 전감가산기 ? ... - 전가산기를 몇개로 조합하는 과정에 의하여 임의의 자리수의 이진수 가산기를 구성할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 예비 가산기 & 감산기
    하지만 전가산기의 자리 올림수 입력이 이전 가산기의 자리 올림수 출력이므로 하나의 전가산기가 계산되기 위해 이전 가산기의 연산을 기다려야한다. ... 첫 번째 전가산기에 한하여 반가산기로 대체될 수 있다.리플 자리올림수 가산기는 틀이 간단하여 빠르게 디자인할 수 있는 장점이 있다. ... 실험목적- Logic gate 를 이용해서 가산기(adder) 와 감산기 (subtracter)를 구성한다.- 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 가중 가산기와 차동 증폭기 결과레포트
    방법실험 결과결론실험 목차..PAGE:3실험 목적연산 증폭기를 이용한 가중 가산기 회로와 차동증폭기 회로를 실험을 통해 이해한다...PAGE:4예비지식(관계식 유도)가중가산기 관계식 ... 실험5.1 가중 가산기그림 3.1의 가중 가산기를 741 연산 증폭기, V+=15V, V-=-15V, R1=1kΩ,R2=2kΩ, 그리고 Rf=10kΩ으로 하여 브레드보드상에 구성하라 ... 이경훈1124064 홍광래..PAGE:12· 가중 가산기 파형실험 결과회로도V1에 흐르는 직류 파형V1..PAGE:13· 가중 가산기 파형실험 결과회로도R(f)에 흐르는 교류 파형직류값이
    리포트 | 17페이지 | 1,000원 | 등록일 2015.09.04 | 수정일 2022.04.30
  • 결과보고서 #2- 반가산기, 전가산기 설계
    가산기, 전가산기 설계1. 실험 목표반가산기와 전가산기의 기본 원리에 대해 이해하고, 이를 통하여 논리회로의 구성 능력을 키운다. ... 자리올림(Carry)을 고려하느냐 안하느냐에 따라서 반가산기와 전가산기로 나뉘게 되는데, 전가산기가 자리올림을 고려한 3개의 이진수를 가산하는 완전한 가산동작을 수행하게 된다. ... 전가산기를 설계할 때 반가산기의 구조가 복수개로 들어가있는데 이를 동작적 모델링과 자료흐름 모델링으로 설계하면 상당한 시간낭비가 발생하게 된다.
    리포트 | 6페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 반전증폭기,비반전증폭기,반전가산기,가상접지 예비레포트
    전자회로 설계 및 실험 예비레포트목차Ⅰ실험과정1.반전증폭기2.비반전증폭기3.반전가산기Ⅱ증폭기의 특성Ⅲ가상접지Ⅰ실험과정·전원공급장치 : 가변 직류 전원·측정장비 : 오실로스코프, 디지털 ... 두 개의 1.5V 입력에 대해, 대략nu _{out}=±1.5V가 되도록, 가산기의 회로를 수정한다. 모든 저항 값과V _{1},V _{2} 의 극성을 기록한다.19. ... 채운다.R _{F},ΩR _{R},ΩV _{p-p}이득(nu _{out} / nu _{in})위상출력입력10,00010,0005,0003,3332,50020,00030,0003.반전가산기V
    리포트 | 4페이지 | 1,500원 | 등록일 2018.01.08
  • 10주차 가산기 & 감산기
    실험 목적– 반가산기와 전가산기의 논리와 회로의 이해– 반감산기와 전감산기의 논리와 회로의 이해실험과정1. ... 반가산기의 회로를 구현하기 위해 Quatrus ll를 이용하여 두 결과 값 s와 c의 결과 값이 서로 일치하는지를 Modelsim을 이용하여 증명하자1) 회로도반가산기의 회로를 나타낸
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.12
  • 05가산기 예비
    가산기1. 목적가. 반가산기와 전가산기의 원리를 이해한다.나. 반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부한다.2. 이론가. ... 반가산기 (Half Adder)1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다. ... (b)기호(a)회로도[그림 6-2] 전가산기 회로전가산기를 반가산기 2개와 OR게이트로 구성하면 그림 6-3과 같다.[그림 6-3] 전가산기 블록 다이어그램3. 예비보고가.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.06
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대