• 통큰쿠폰이벤트-통합
  • 통합검색(7,039)
  • 리포트(5,989)
  • 시험자료(508)
  • 방송통신대(295)
  • 자기소개서(216)
  • 논문(22)
  • ppt테마(5)
  • 서식(2)
  • 이력서(2)

"논리적코드" 검색결과 101-120 / 7,039건

  • 정보처리기사요약(2.전자계산기구조)
    동작 코드(operation code) : 수행해야 할 동작 명시.? ... 명령어 형식과 주소지정1) 연산자(operation code)― 명령어는 크게 연산자(operation : 동작부분), 자료(operand : 주소부분)로 구성.op-codeoperandModeRegisterAddress ... 코드로 구성.
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • [근거이론의 수행방법 논문 요약 및 평가] 근거이론의 수행방법에 대한 이해 <실천적 가이드라인과 이론적 쟁점을 중심으로>
    근거이론 분석기법의 논점 및 유형◎ 질적코딩(qualitative coding)은 수집되어진 질적 자료로부터 연구자가 연구문제에 대응하는 단어와 구문, 문장, 문단 등의 의미요소들을 ... 코드들을 상호간의 인과적 관계, 공분산 관계, 그리고 속성적 관계, 과정적 관계 등으로 논리적 관계성이 파악되는 경우 관계구조를 체계화하는 작업을 수행하는 등의 논리적인 분석활동을 ... 개방코딩은 연구문제와 대응하는 코드를 최대한 식별하는 것이고, 선택코딩은 식별된 코드 중에 중요도가 높은 핵심코드를 정리하는 것이며, 축코딩은 핵심코드 간의 관계를 구조적인 관계도를
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.23 | 수정일 2021.08.01
  • 산술논리연산회로 실험보고서
    입력은 연산코드(op code)을 포함하고 있는 기계 명령어, 하나 또는 그 이상의 연산자 그리고 형식코드로 구성된다. ... 형식코드는 연산코드와 함께 결합되어, 연산의 대상이 고정 소숫점 형식인지, 부동 소숫점 형식인지를 알려준다. ... ALU 내의 비트의 흐름과, 그 안에서 수행된 연산들은 게이트 회로에 의해 통제되는데, 게이트 회로는 다시 각 연산코드에 대해 특별한 알고리즘이나 순서를 사용하는 순차 논리 장치(sequence
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.26
  • 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    추가로 여러가지 기호나 알파벳 문자를 코드화 하기도 하며 코드화 하는 것을 인코딩이라 한다.인코더의 예시 중 하나인 8-to-2진 인코더의 진리표와 논리도는 다음과 같다.실험에서 사용할 ... 다음과 같다.인코더는 m 방향에서 오는 입력정보를 n 방향의 출력정보로서 내보내는 장치로 10진이나 8진의 숫자를 입력으로 받아들여 2진이나 BCD 와 같은 code 로 변환한다. ... 가능하며 BCD-to-10진 디코더의 경우 4개의 입력과 16가지 출력 중에 10가지만 사용하여 BCD 부호로 표시된 수치를 십진법으로 변화한다.BCD-to-10진 디코더의 진리표와 논리도는
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 기초전자회로실험 예비보고서 - 인코더, 디코더와 7-Segment Display
    실험 제목 : 인코더, 디코더와 7-Segment Display실험에 관련된 이론인코더(Encoder)code에 접두사 en을 붙여 인코드라고 하는데 이는 동사로써 정보를 부호화 해준다고 ... 주로 컴퓨터 내부의 부호(코드)를 일반적인 신호로 변경하여 출력한다. ... 게이트로 통과시키고 1을 그대로 보낸 후 4개의 신호를 논리곱 게이트에 연결하거나 반대로 1이 들어오는 부분을 논리부정 게이트로 통과시키고 0을 그대로 보낸 후 4개의 신호를 부정논리
    리포트 | 9페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • [내용 충실, 가상 주제] SW 및 시스템 구축 프로젝트 제안서(가상의 주제 내용으로 구성, 총 181장)
    구성 방안 제어 흐름 Foreach container, Sequence container, SQL Execute, FTP, Database Management Script, ♤♤♤ code ... , XML, 병렬처리 제어 등 데이터 흐름 OLE 디비 , XML connection, FILE, Merge, JOIN, COPY 등 이벤트 처리기능 패키지 실행에 대한 로그 관리 ... 및 명명규칙 ) 을 개발하고 형상 관리하는 자 데이터 표준 검증 및 표준화 절차를 수립하고 운영하는 자 설계자 ( 논리적 관점 ) Modeler 해당 업무 영역의 데이터 요구사항
    ppt테마 | 30페이지 | 45,000원 | 등록일 2022.05.12 | 수정일 2024.04.22
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    논리회로설계실험 프로젝트 #1BCD to 7 segment 가산기1. ... 출처1) BCD & BCD adderhttp://www.electrical4u.com/bcd-or-binary-coded-decimal-bcd-conversion-addition-subtraction ... /https://en.wikipedia.org/wiki/Binary-coded_decimal2) 7 segmenthttp://blog.naver.com/PostView.nhn?
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • A+ RFID 개념 구성 및 작동방법과 활용 예시
    RS-422, RS-485]RFID와 Bar – code의 차이점RFID바코드인식방법무선 Read/Write(비접촉식)광학식 Read Only(비접촉식)정보량수천 단어 동시 복수 인증 ... 기기Tag로부터 정보를 받아서 사용자가 저장하고 사용하고자 하는 장소로 정보를 송신.Reader는 tag에게 정보를 보내도록 명령, 다양한 형태로 적용 가능Antenna 이외에 논리회로가 ... 유통분야에서 일반적으로 물품관리를 위해 사용된 바코드를 대체할 인식기술로 꼽힌다.RFID 구성 및 작동방법RFID의 구성RFID TagRFID 정보를 가지고 있는 칩Silicon chip과
    리포트 | 8페이지 | 2,000원 | 등록일 2023.11.06
  • 방송통신대학교 방통대 정보통신망 기말평가
    프레임의 연속적인 전송을 위해 이 방식은 슬라이딩 윈도우 개념을 사용한다.Hamming code는 이진 선형 블록 오류 정정 부호의 일종으로, 데이터 전송 또는 메모리 엑세스의 경우 ... 그러므로 데이터를 보낼 때 오류를 정정할 수 있도록 오류 전송 코드를 함께 전송하는데, 대표적인 것이 해밍 코드이다.29번) UDP는 TCP/IP 응용 계층과 IP 계층 사이에 있으며 ... 가상회선 패킷교환 방식은 데이터 전송이 이루어지기 이전에 송신자와 수신자 사이에 가상회선이라고 불리는 논리적인 경로가 설정되며, 모든 패킷이 이 가상회선을 통해 전송된다.
    방송통신대 | 5페이지 | 4,000원 | 등록일 2021.07.04 | 수정일 2022.09.15
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    토의실험 1에서는 code converter를 구현하였다. ... 과정 1-A ~ 1-C에서 각기 다른 방법으로 [표 1]의 진리표를 만족하는 논리 회로를 구현해 보았는데, 같은 논리 기능을 하는 등가 회로라도 구현 방법에 따라 cost와 complexity가 ... 디지털논리회로실험결과 보고서[3주차]실험 3. Decoders and Encoders1.
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    위의 실험은 7-segment를 동작시키기 위하여 데이터를 BCD code로 바꾸는 것이다.? ... BCD code는 쉽게 말해 2진수로 생각할 수 있으며 클럭이 주어 질 때마다 값이 0에서 9까지 숫자로 바뀌 며 순환함을 알 수 있다.? ... 7 Segment 표시기를 이용해서 BCD Counter를 만들어 보았다.- 7 Segment는 VCC가 들어가고, 가로획에 맞도록 a, b, c, d ….로 구분되어 있어서 논리값에
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    Simulation 되는 VHDL source code를 제출한다.5. ... 계획1주차 : 계획 보고서 작성에 있어, 디지털 공학, 전자회로 과목 복습 및 VHDL 강좌 수강, 툴 설치(VAIVADO)_최신버전, 회로의 대략적인 구상과 동작 원리 파악, coding ... A, B와 출력 D가 존재- 가산, 감산, 증가, 감소 등의 8가지 기능* 논리연산 회로- 게이트와 멀티플렉서로 구성- 각 게이트가 정해진 논리 연산을 수행하고 이 결과들 중에서
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 2022년 1학기 기말 사회변화와디어트렌드 / 알고리즘 개념을 심화해서 제시하고 긍정적인 영향과 부정적인 영향을 예를 들어서 설명한 후, 3) 미래를 전망해 보시오.
    단, 해당 언어를 알지 못하면 이해가 어렵고 특정 언어를 사용하기 때문에 보편성이 떨어진다.- 유사 코드(pseudo code) : 특정 프로그래밍 언어의 문법에 따라 쓰인 것이 아니라 ... 예를 들면 C/C++, Java, Python등이 있다. ... 문제 해결을 위해 수행하는 논리적 과정이자 규칙의 집합이라고 할 수 있다.
    방송통신대 | 4페이지 | 5,000원 | 등록일 2022.08.29
  • 경희대 소프트웨어적사유(소웨사) 기말고사 정리, 족보
    구분자 필요③ 코드의 길이가 가변적이면서, 구분자 없이 코드를 구분해 낼 수 있는 방법 필요- 가변길이 코드(variable-length code), 전치 코드(prefix code ... 방문한 지점의 논리적인 위치가 중요하다.- 추상화 :무 긴 패턴 = 시간 소요 : 패턴 길이 제한? ... 방문한 지점의 논리적인 위치가 중요하다.- 추상화 :데이터포인터(pointer) = 다음 위치 주소- 포인터의 ?
    시험자료 | 11페이지 | 4,000원 | 등록일 2019.12.25
  • 컴구조 6장 4번부터 moi-4
    표 6-23의 서비스 루틴 SRV를 등가한 16진 코드로 번역하라. ... 두 개의 논리 피연자의 논리 exclusive-OR을 수행하는 프로그램을 작성하여라.y = x OPLUSyLDAYCMAANDXCMASTATMPLDAXCMAANDYCMAANDTMPCMASTAZHLTX ... 그 루틴은 영역 200부터 저장되어 있다고 가정한다.LocationHex code200321320170802023214203F2002044209205F800206F400207B2152086215209F10020A420E20BA21620CF40020D621620E221420F70402102213211F08021200002130000214000021500002160000SRV
    시험자료 | 5페이지 | 1,500원 | 등록일 2020.10.19 | 수정일 2021.06.02
  • IT Operation Analyst(IT 오퍼레이션 분석가)취업 및 이직 합격을 부르는 영문이력서 및 자소서 핵심 문장(한국어 포함)
    trend analysis of operations infrastructure.운영 인프라의 실시간 모니터링, 추적 및 추세 분석을 수행했습니다.Monitored and perform code ... 모든 환경에서 코드 및 데이터 배포를 모니터링하고 수행했습니다.Recommend, document, and implement procedures to insure effective ... 프로젝트 계획을 추진하기 위한 ROI 추정치를 제시했습니다.Developed diagrams to describe and lay out logical operational steps.논리
    자기소개서 | 5페이지 | 3,000원 | 등록일 2022.05.18
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    Prelab(1) [실습 5]를 위하여 binary to BCD conversion algorithm에 대하여 조사하시오.- BCD(Binary-coded decimal, 이진화 십진법 ... IT CookBook, 디지털 논리회로. 조합논리회로.4) ㈜한백전자. HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 역변환도 동일하다.따라서 십진법 숫자 729는 이진화 십진법으로 0111 0010 1001로 표현된다.(2) In-Lab [실습 1~6]에 대하여 코드를 작성하여 테스트벤치 시뮬레이션을
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    PurposeXilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... 이를 통해 Xilinx프로그램과 VHDL code에 대해 익히고 4 bit full adder & subtracter의 작동원리에 대해 알아본다.2. ... 상태에 따라M:0 -> s=x+yM:1 -> s=x-y 가 된다.이를 적용해 4비트 가/감산기를 설계하면 다음 그림과 같다.설계한 4 bit 가/감산기를 Xilinx에 VHDL code
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • LG화학 기술연구원 최종 합격 자기소개서
    신재생 에너지 재료 물질에 대한 개발을 연구했습니다.연구분야 중심으로 자세히 기술 (4000byte)저의 연구 분야는 First Principles에 기반하여 VASP 라는 상용 code를 ... 이해하는 것은 향후 촉매 개발 과정에서 더 효과적인 촉매를 개발하는데 매우 중요하고 핵심적이기 때문에 본 연구가 매우 중요하다고 생각합니다.Computation tool은 VASP code를 ... 후 oxygen reduction reaction에 대한 연구를 전개함으로써 좀 더 합당하고 논리적인 연구가 될 수 있도록 하였습니다.논문 및 학회 발표 사항- 저널명: Journal
    자기소개서 | 2페이지 | 4,000원 | 등록일 2021.10.17
  • 전전설1 3주차 (비대면,예비,결과,전자전기컴퓨터설계실험) - Matlab을 이용한 전기회로 분석
    여기서 점의 개수를 늘려주고 싶다고 한다고 하면,아래와같다.1),2) line code해석t1의 경우 0부터 1까지 내에 균일한 간격의 점 1000개로 구성된 벡터를 만든다는 의미로 ... m=2 로 한번 실행을 시켜보자.1/2 1/3; 1/3 1/4 가 출력되면 정상출력된거다.이때 방식을 바꿔서 중간의 for문가운데에 ;를 없앰으로써 for문의 흐름이 내가 생각한 논리대로 ... 열에 관계없이.[3-5] 아래의 MATLAB 코드의 각 라인의 의미를 설명하시오.Answer)linspace의 코드를 먼저 알아보자.linspace(0,100); 인 경우, 0부터
    리포트 | 24페이지 | 1,000원 | 등록일 2021.06.20 | 수정일 2021.06.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대