• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(11,537)
  • 리포트(10,353)
  • 자기소개서(439)
  • 시험자료(360)
  • 방송통신대(304)
  • 논문(31)
  • 서식(25)
  • 표지/속지(15)
  • 이력서(5)
  • 노하우(4)
  • ppt테마(1)

"바로 출력" 검색결과 101-120 / 11,537건

  • 8주차 예비 보고서 6장 연산 증폭기와 그 용용 (1)
    두 입력전압의 차이가 조금만 있어도 이득이 굉장히 크기 때문에 출력바로 포화되는 것이다.5. ... 그 응용 실험실 험 일학 과학 번성 명부궤환이 없을시 입력 전압에 대해 출력 전압이 포화되게 된다. ... 두 입력 단자의 신호를 각각 v1, v2 라고 할 때 출력은V0=A(v1-v2)와 같이 표현된다. v1-v2=V0/A로 고쳐 쓸 수 있고, 이상적인 연산 증폭기의 경우 증폭률 A가
    리포트 | 2페이지 | 2,000원 | 등록일 2023.02.24 | 수정일 2023.03.14
  • 22. Flip-flop 회로 결과보고서
    입력값이 R=S=0 일때는 바로 직전에 측정한 입력값이 R=+5, S=0인 경우의 결과값 Q=0.121{bar{Q}}=4.343과 같음을 알 수 있다.이론상 입력값이 R=1, S=1인 ... 22.6의 실험치가 표 22.2의 이론치와 일치하는지 확인하라.NAND gates RS flip-flop 회로의 실험치와 이론치의 두 표를 비교해보면 입력값이 R=+5, S=+5 일때는 바로 ... 표 22.3의 이론치와 일치하는지 확인하라.JK flip-flop 회로의 실험치와 이론치 값을 비교해보면 실험값에서 J=K=0일때의 출력값과 J=K=5일때의 출력값이 정반대가 되어
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.16 | 수정일 2022.05.04
  • 기계공학실험레포트(필터)
    위 회로를 보면 커패시터를 바로 in앞에 배치를 하여 커패시터에서 저주파 신호를 흡수하고 고주파 신호만을 통과 시킨다. ... 그럼 위에서 보이는 바와 같이 바로앞에 커패시터를 세팅해놓으면 고주파는 통과하고 저주파는 커패시터에 흡수되어 고주파 신호를 통과 시키는 것이다. ... 이 식을 통해 (-) 부호로 인해 반전된 위(8)상의 출력전압이 나온다는 것과 입력저항과 피드백저항의 비를 이용해 출력전압을 증폭시킬 수 있다는 것을 알 수 있다.- 비반전 증폭기비반전
    리포트 | 19페이지 | 1,500원 | 등록일 2023.10.22
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    BCD는 바로 다음 단계에서 수행하게 될 디코더 (decoder) 관련 실험에서 중요하므로 개념을 확실하게 익히도록 한다.디코더상태 혹은 명령들을 그에 상응한 2진 정보로 변환하는 ... 이번 실험에서는 XNOR을 이용한 기본적인 회로는 생략하고 4비트 비교기인 7485 소 자를 바로 다루고자 한다.그림 3과 같은 회로를 구성하고 진리표를 작성한다.그림 4와 같은 회로를 ... AB일 때 1을 출력하므로 A값이 10보다 큰 경우 1을 출력하게 된다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 방통대 2021년 1학기 C프로그래밍 기말과제
    따라서 if문의 조건식이란 i==3은 거짓이므로 if문은 실행되지 못하고 바로 마지막 문장인 printf()함수가 실행되며 i값인 4가 출력된다.9. ... 또한 %c는 문자를 출력하는 형식이므로 var의 원래 할당값인 문자 A가 그대로 출력된다.4. 위에서 ㉡의 결과로 올바른 것은? ... ② %d, %o, %x정답해설%d는 부호 있는 10진 정수로 변화하여 출력한다. %o는 부호 없는 8진수로 변환하여 출력한다.
    방송통신대 | 11페이지 | 15,000원 | 등록일 2021.05.24 | 수정일 2021.05.26
  • 경북대학교 기초전기전자실험 PLC제어 실험보고서 [기계공학부]
    전자기 유도현상을 이용한 센서이므로 주변에 자계를 발생시키는 물체가 있다면 제기능을 하지 못한다.(3) 근접센서의 적용스마트폰에 탑재된 근접센서는 전화를 걸때마다 작동하고 있는데, 바로 ... 한번 전체가 처리되어 내부 상태와 출력이 결정되면, 각 출력포트에 변화된 출력이 나타난다. ... 걸리는 시간이 짧기 때문에 그에 따른 경제적 이점도 있다.2) 단점(1) 전기 노이즈에 약하다.PLC는 일종의 컴퓨터이기 때문에 실수로 높은 전압이 걸리거나 큰 전류가 흘러 들어가면 바로
    리포트 | 9페이지 | 2,000원 | 등록일 2023.06.17 | 수정일 2023.12.14
  • 6. 백준 10872번 팩토리얼 C++
    마지막으로 소환하는 N=2일 때까지 이므로 N>1의 조건으로 break를 걸어주는 것입니다.이렇게 전역변수 a에 착실히 계산한 값을 return해주고, main문에서 return한 값을 바로 ... 을 출력한다.◆ 예제 입력 110◆ 예제 출력 13628800◆ 예제 입력 20◆ 예제 출력 21◆ 풀이팩토리얼 문제는 제목 그대로 N! ... 을 출력하는 프로그램을 작성하시오.◆ 입력첫째 줄에 정수 N(0 ≤ N ≤ 12)이 주어진다.◆ 출력첫째 줄에 N!
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.30
  • 무스펙으로 합격한 전직 6년차 지상직의 노하우가 담긴 책
    *DCS 란 : Departure Control System• 항공사 승객의 보딩패스 및 BAG TAG 출력등을 할 때 사용하는프로그램(자격증 취득) ... 일본어, 중국어도 마찬가지였다.언어 공부를 하려면 내 기준 최소 1-2 년이 걸린다고 생각해서 바로언어 자격증은 포기했다. 지금 생각해보면 참 대단한 발상(?)
    자기소개서 | 36페이지 | 10,000원 | 등록일 2024.03.24
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    0001D Flip-flop은 D의 입력을 Q로 바로 출력하고, 그러므로 Q’는 D의 변환된 값이다. ... 따라서 NAND 게이트는 항상 1을 출력하다가 Q1,Q3이 11이 되는 순간 0을 출력할 것이다. 즉, Q1과 Q3이 11이 되는 순간 Reset이 활성화되는 것이다. ... J,K로 들어가므로 Q2는 Q0,Q1이 모두 1일 때 toggle 된다.네 번째 FF은 Q0,Q1의 AND 게이트 출력과 Q2가 입력으로 들어간 AND 게이트의 출력이 J,K로 들어가고
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • RF 리모컨
    이득이 만들어진다는 것은, 입력신호에 비해 출력신호의 크기가 커진다는 것이다.발진의 열쇠는 바로 이러한 능동소자의 이득에 있다. ... 즉, 주파수선택을 할 수 있는 무언가가 빠져있는 것은 바로 공진(resonance)이다.즉 이렇게 gain을 가지는 loop에, 정확히 원하는 주파수를 선택해서 발진시킬 수 있는 공진부 ... 이론값과 출력 결과를 비교하여 문제점을 파악하였다.■ PROJECT에 필요한 이론1.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.23 | 수정일 2023.10.10
  • 지적도 작업 방법 (건축 실무 내용)
    가끔씩 축척이 1/500로 되어있는 대지들은 경계점 좌표가 있기 때문에 엑셀에서 변환하여 캐드로 불러오면 바로 작업이가능합니다. ... .■ 축척을 대지가 보이게 조절 후 이미지를 저장, 출력을 합니다.■ 캐드에서 대지 및 도로를 선으로 표시합니다.■ 어느 정도 정리되면 출력된 지적도를 스케일로 가로, 세로, 대각선
    리포트 | 12페이지 | 7,000원 | 등록일 2023.02.03
  • 디시설, 디지털시스템설계 실습과제 8주차 인하대
    출력되며, cout, bout 은 간격으로 1이 출력되고 있는 것을 확인 할 수 있다. ... 바로 아래 라인을 살펴보면 reg[W-1:0]reg_file[N-1:0] 에서 앞의 [W-1:0]은 W가 8이므로 총 8비트의 데이터 값을 갖는다는 의미이고, 포트이름 뒤의 [N-1 ... 마찬가지로 input으로 18이 입력되고 이 다음 처음으로 나타나는 positive edge에서 out_B가 18이 되는 것을 확인할 수 있다. 18이 input으로 들어오고 바로
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 전산수학 A+ 레포트 인공신경망의 구조 및 작동원리
    바로 이 손실함수의 값을 줄이는 것이 학습의 목표이고 MSE-평균 제곱 오차법, CEE - 교차 엔트로피 오차법이 있다. ... 그리고 Softmax 함수는 출력층에서 사용되는 함수로 출력값을 모두 더해 1이 될 수 있도록 값을 조정하는 함수이다. ... 이 때 입력값에서 출력값으로 방향성있게 흐르는 것을 순전파라고 하며 반대로 출력값에서 입력값으로 흐르는 역전파가 존재한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.06.09
  • decoder&encoder 결과보고서(기초실험1)-틴커캐드
    이는 입력된 신호를 경우에 따라 다음 회로와 바로 연결하는 것보다 더 간단하게 회로를 구성할 수 있도록 한다. ... 즉, (1)에서 0으로 출력된 것은 1로, 1로 출력된 것은 0으로 출력된 것을 확인할 수 있었다.00( )011011따라서 각각의 입력에 따라 0은 하나만 출력되는 것을 확인할 수 ... 1)에서는 해당하는 출력이 1이고 나머지 값이 0이었다면, (3)에서는 해당하는 출력이 0을 나타내고 나머지 값이 1을 출력했다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.05.03 | 수정일 2023.11.29
  • 학습자들은 자기가 받아들이는 투입(input) 정보를 면밀히 조사해서 자기가 이미 가지고 있는 지식과
    그것은 바로 입력과 출력이다. 즉, 이 두 가지를 논의 핵심으로 삼고 있는 것이 바로 공통적인 내용인 것이다. ... 이를 방법론적으로 체계화시켜 정리한 것이 바로 교수법이다. ... 이러한 과정이 가장 효과적으로 수행될 수 있는 언어 영역이 바로 읽기라고 생각한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.02.13
  • 운영체제 Factorial 합을 병렬로 계산하는 프로그램 구현후 thread 동기화
    함수에서 return된 값들은 바로바로 status라는인자로 받아 sum이라는 변수에 계속 저장을 시켜 마지막에 최종값을 출력되도록프로그래밍을 하였고 잘 작동됨을 확인하였습니다.작성한 ... lpthread방식으로 컴파일하였고 출력한 결과사이의 과정들이 출력되지 않고 또한 그 값이 마지막 최종값에 더해지지 않음을 확인하였습니다.코드를 수정해보고 다른 방식으로 컴파일도 해보았지만 ... 자료구조 설계 내용원하는 숫자를 인지 -> 그 값을 인자로 받은 pthread함수 생성 -> 그 함수안에 있는 팩토리얼 함수진행 -> 결과값을 return하여 함수가 종료되는 시점에 바로
    리포트 | 5페이지 | 2,000원 | 등록일 2021.11.01
  • 전자공학실험 13장 공통 게이트 증폭기 A+ 결과보고서
    하지만 전압 버퍼의 역할도 수행할 수 없고 무엇보다 입력 임피던스가 작아 전류를 잘 받아들이는 특성을 지니고 있지만, 임피던스가 작기 때문에 바로 증폭기로 구동하게 되면 전압 이득이 ... 파형입력신호의 측정값출력 신호의 측정값-0.45V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.5V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 ... 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.3V_PP일 때-0.35V_PP일 때입력신호-출력신호의 파형입력신호의 측정값출력 신호의 측정값-0.4V_PP일 때입력신호-출력신호의
    리포트 | 8페이지 | 1,500원 | 등록일 2024.05.13
  • 2020컴퓨터과학과 레포트
    출력하는 회로이다.예를들어 자판기에 동전(입력)을 넣으면 상품(출력)과 거스름돈(출력)이 나오는 구조같은 방식이 바로 조합회로이다. ... 최상위 원소를 루트라고 칭하고 하나의 부모노드와 0개이상의 자신노드들로 구성되어 있는데, 여기서 하나의 노드가 최대 2개의 자식을 갖는 트리 자료구조가 바로 이진 트리라고 한다.완전 ... 조합회로와 순차회로의 개념과 종류를 나열하고 설명하시오.조합회로에는 여러 논리게이트들로 이루어져 있는데, 이것들은 전부 입력하는 순간 인풋input에 의해 아웃풋output이 결정되어 바로
    방송통신대 | 6페이지 | 3,000원 | 등록일 2022.05.30
  • (방송통신대 c프로그래밍 기말시험)C 프로그래밍의 2019년도 기출문제에 대해 다음의 내용과 지시사항을 참고하여 작성하시오(홀수 학번 과제물)
    따라서 if문의 조건식이란 i==3은 거짓이므로 if문은 실행되지 못하고 바로 마지막 문장인 printf()함수가 실행되며 프로그램이 종료된다. 따라서 i값인 4가 출력된다.9. ... %o는 부호 없는 8진수로 변환하여 출력한다. %x(또는 %X)는 부호 없는 16진수로 변환하여 출력한다. ... 또한 %c는 문자를 출력하는 형식이므로 var의 원래 할당값인 문자 A가 그대로 출력된다.4. 위에서 ㉡의 결과로 올바른 것은?
    방송통신대 | 11페이지 | 7,000원 | 등록일 2021.05.03 | 수정일 2021.05.13
  • 서울시립대 전전설3 final project 결과 보고서
    이러한 결과가 나타난 원인은 CD amplifier의 특성에서 찾아볼 수 있는데 수식이 유도되는 과정은 예비보고서에서 자세하게 다루었으니 결과 식을 바로 살펴보겠다.그림 10의 회로의 ... 이 경우의 시뮬레이션 결과를 살펴보면 부하저항을 100 Ω부터 1kΩ까지 100Ω단위로 증가시켰을 때의 출력신호를 살펴보면 gain이 줄어드는 것 없이 항상 일정하게 출력되는 모습을 ... 때 출력신호가 설정한 전압에서 최대 최소 제한이 걸리는 모습을 그림 6을 통해서도 확인할 수 있다.
    시험자료 | 11페이지 | 10,000원 | 등록일 2024.07.15
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대