• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(32,031)
  • 방송통신대(16,353)
  • 리포트(15,552)
  • 자기소개서(50)
  • 시험자료(49)
  • 논문(12)
  • 표지/속지(10)
  • 서식(2)
  • ppt테마(2)
  • 노하우(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"방통대 과제" 검색결과 101-120 / 32,031건

  • 한국방송통신대학교 통계데이터과학과 표본조사론 2021년 출석과제(만점)
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 표본조사론학 번 :성 명 :강 의 실 : 호연 락 처 :_____________________________________ ... 교재 74쪽 연습문제 #8완공 시기가 서로 다른 120가구의 주택을 건설 중인 한 대형 건설회사에서는 각 현장의 총재고액을 추정하기 위하여 표본의 크기 12인 단순임의표본을 조사하였다 ... 사용하여 계산한 결과는 아래 그림과 같으며 위 식에 따라 계산한 결과값과 동일하다.4.N``=2,000인 약국을 점포면적을 기준으로 층화하여 2개 층을 구성하였다.n=150의 표본에 대해서
    방송통신대 | 9페이지 | 5,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 통계데이터과학과 생산관리 2021년 기말과제(만점)
    평가유형:과제물(과제물형, 주관식형, 혼합형(과제물+주관식) 중 해당 유형 표기)?주관식형:※ 주관식일 경우 문제번호 표기 후 답안 작성?과제물유형:과제물? ... 서비스율 (대/시간)은 아래와 같다.기술자 A :60=5대12기술자 B :60=7.5대8기술자 C :60=10대6③ 평균 고장대수(N _{s} = {lambda } over {mu ... - lambda } )는 아래와 같다.기술자 A :4/(5-4)=4대기술자 B :4/(7.5-4)=1.143대기술자 C :4/(10-4)=0.667대④ 대안의 비교구분기술자 A기술자
    방송통신대 | 13페이지 | 3,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 현대소설론 출석과제, 타인의방, 라울전 독후감
    ‘타인의 방’은 여느 날과 같던 어느 날, 퇴근하고 돌아온 남편이 아내가 없는 집에 홀로 들 어오며, 기대와 달리 자신을 맞이하는 이가 하나도 없는 자신의 집을 낯설게 느끼는 어느 남자의 이야기이다. 그는 기대했던 따뜻한 저녁도 다정한 아내도 간데없는 자신의 집을 타인..
    방송통신대 | 4페이지 | 2,000원 | 등록일 2023.12.26
  • [한국방송통신대학교] 2024년 1학기 디지털논리회로 출석수업과제
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 디지털논리회로학 번 :성 명 :강 의 실 :연 락 처 :_____________________________________
    방송통신대 | 6페이지 | 5,000원 | 등록일 2024.05.18
  • [한국방송통신대학교 간호학과] 기초간호과학 중간 과제
    한국방송통신대학교 출판문화원. 76.전시자·김강미자·박정숙·이미화·조경순·김희경 외(2005). 『성인간호학』. 현문사.최명애,조남옥(2019). 『기초간호과학』. ... 한국방송통신대학교 출판문화원.네이버홈페이지,지식백과,코로나19 검색,2020년04월08일 10:50 방문, https://terms.naver.com/entry.nhn? ... 대증요법으로 대부분 호전 가능하지만 심할 경우 사망에까지 이를 수도 있는 질환이며, 백신이 개발 중에 있기에 개인위생을 철저히 하여 감염예방을 하는 것이 중요하다.
    방송통신대 | 3페이지 | 3,000원 | 등록일 2020.06.22
  • [한국방송통신대학교 간호학과] 간호과정론 출석대체과제
    힘, 대칭성, 크기를 평가.2) 승모근 검사 : 어깨를 누르고 검진자의 손에 대 항하여 으쓱하게 하여 근력과 수축 평가12. 설하신경(hypoglossal nerve)? ... 2020 학년도 ( 1 )학기 출석수업대체과제물교과목명 : 간호과정론학 번 :성 명 :연 락 처 :______________________________________________ ... 유방 자가검진(breast self examination)의 절차에 관해 설명하고, 유방 자가검진율이 낮은 이유에 대해 자신의 견해를 포함하여 기술하시오. (15점)- 이하 과제 작성Ⅰ
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.06.22
  • 한국방송통신대학교 2학기 영상문화콘텐츠산업론 출석수업 과제
    제시해주세요.교통과 통신 기술의 발달이라는 동력은 미디어의 세계화를 가속화 시키고 있다. ... ________________________________________________- 이하 과제 작성1.? ... 이어서 곧 공개될 예정인 넷플릭스 오리지널 ‘지옥’과 ‘지금 우리 학교는’ 또한 많은 기대를 하고 있다.
    방송통신대 | 4페이지 | 6,000원 | 등록일 2022.02.07
  • 한국방송통신대학교 19년도 지역사회복지론 과제
    .3) 학생이 살고 있는 지역사회(시군구)의 문제 중 한 가지 사례를 선택하여 문제해결을 위한 방법을 서술하시오.나는 오산에서 거주하고 화성 향남읍으로 출퇴근을 하며 공부하고 있는 방송대학생이다 ... 또 대부분의 생활문제가 지역사회의 과제로 부상하는 원인 중 하나는 그 해결을 위한 자원이 불충분하거나 자원 자체가 없기 때문인 경우가 많기 때문에(박태영, 2005: 24), 지역사회복지는 ... 대다수의 심리를 바꾼다고 해서 해결될 문제가 아니지 않을까?그래서 나는 지역사회복지에 대해서는 제도주의적인 관점에서 이루어져야 한다고 생각한다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2019.11.29
  • 장애인복지론 레포트 (한국방송통신대학교 사회복지학과) 과제
    접이식 수동 휠체어를 짐칸에 싣고 장애인이 좌석에 앉기까지 터미널 직원이 도와줄 수는 있지만 년동안 총 14건이 발생하였다(한국시각장애인연합회, 2014). ... 국토해양부 통계자료에 따르면, 2014년 말 기준 전국의 저상버스는 총 6,076대로, 전국 시내버스 중 18.7%에 불과하고, 대부분 서울과 수도권 등에 집중되어 있다. ... 보장하고 있기는 하지만 아직도 장애복지가 멀기만 하다.제가 근무하고 있는 직장에서도 장애학생이 한 명 더 입급되면 걱정이 앞서는 것은 사실이지만 마서즈 비니어드 섬의 농인들처럼 학교생활에서
    방송통신대 | 5페이지 | 3,000원 | 등록일 2021.06.18 | 수정일 2021.07.03
  • 한국방송통신대학교 3학년 2학기 보건통계학 기말과제
    【서식 1】〔기말시험(온라인평가) 문제〕2020학년도 2학기대상학과보건환경학과교과목명보건통계학대상학년3학년시험유형주관식과제 1.
    방송통신대 | 19페이지 | 5,000원 | 등록일 2021.05.31
  • 한국방송통신대학교 글쓰기(교양) A+받은 과제물 나의 일상
    그래서 작년에 방송통신대학교생활체육 지도과에 지원하는 도전을 하게 되었다. ... 우리 회사의 경우 혁신 과제라고 해서 AI 기반으로 업무를 효율화 할 수 있는 방안에 대해서 고민한다. ... 아마 코로나 시대가 아니었다면 기존 대학 전공이나 업무와 관련이 없는 다른 분야에 대한 도전에 대한 의지나 필요성을 느끼지 않았을 것이다.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2022.05.29 | 수정일 2024.06.10
  • 한국방송통신대학교 생애주기영양학 레포트 과제물(2020 국민건강통계)
    한국 사람의 기대수명은 점차 증가하여 2030년에는 80세가 넘어설 것으로 추정된다. 단순하게 오래 사는 것 보다 건강히 살 수 있는 건강수명을 늘리는 것이 중요하다.
    방송통신대 | 7페이지 | 5,000원 | 등록일 2023.10.10 | 수정일 2024.03.22
  • 한국방송통신대학교 통계데이터과학과 엑셀데이터분석 2021년 기말과제(만점)
    평가유형:과제물(과제물형, 주관식형, 혼합형(과제물+주관식) 중 해당 유형 표기)?주관식형:※ 주관식일 경우 문제번호 표기 후 답안 작성?과제물유형:과제물? ... 다섯 종류의 새로운 차에 대하여 동일 형태의 차 두대를 랜덤하게 선택하여, 한 대에는 첨가제를 사용하고, 다른 한 대에는 사용하지 않고, 같은 장소에서 같은 운전자가 운전한 결과 1l당
    방송통신대 | 24페이지 | 5,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 통계데이터과학과 실험계획과응용 2021년 출석과제(만점)
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 실험계획과응용학 번 :성 명 :강 의 실 : 호연 락 처 :___________________________________ ... h)로 명명요인 ⑵ : 발사각도 (0°, 10°, 20°), 이하 “start“(s)로 명명요인 ⑶ : 고무줄 수 (1개, 2개), 이하 ”bands“(b)로 명명요인 ⑷ : 지렛대
    방송통신대 | 16페이지 | 5,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 통계데이터과학과 다변량분석 2022년 출석과제(만점)
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 다변량분석학 번 :성 명 :강 의 실 : 호연 락 처 :_____________________________________ ... KMO검정KMO 검정의 MSA결과는 0.702로서 R의 결과인 0.7과 같다.2.2.7 초기 인자분석아래 결과와 같이 고윳값이 1 이상되는 인자는 2대로서 R의 결과와 같다.2.2.8
    방송통신대 | 50페이지 | 5,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 통계데이터과학과 엑셀데이터분석 2024년 출석과제(만점)
    출석수업 과제물(평가결과물) 표지(온라인제출용)교과목명 : 엑셀데이터분석학 번 :성 명 :강 의 실 : 호연 락 처 :___________________________________ ... 어느 학생이 10문항 전체에 대해서 임의로 답안을 적을 때 정답수를 확률변수 X라고 하자. 정답 수가 3개 이하일 확률과 정답 수가 5개 이상일 확률은 각각 얼마인가?
    방송통신대 | 8페이지 | 4,000원 | 등록일 2024.07.16
  • 한국방송통신대학교 통계데이터과학과 데이터처리와 활용 2021년 중간과제(만점)
    과제유형(공통형/지정형):공통형__________________________________________________________________________________1번 ... 때 대출의 대출번호는 기본 키로 하고, 지급의 대출번호, 대출지급의 대출번호는 외래 키로 했을 때 E-ER 도의 집성화 관계형 스키마는 아래와 같다.대출대출번호대출액지급대출번호지급번호지급날짜지급금액 ... 때 “지급”은 약한(의존적인) 개체 타입이며 “대출지급”은 강한 개체 타입(“대출”)과 약한 개체 타입의 관계를 나타내며 강한 개체 타입의 기본 키를 상속받아 사용한다.대출대출번호대출액지급지급번호지급날짜지급금액대출지급대출번호지급번호이
    방송통신대 | 10페이지 | 3,000원 | 등록일 2024.07.11
  • 한국방송통신대학교 통계데이터과학과 엑셀데이터분석 2021년 출석과제(만점)
    출석수업 과제물(평가결과물) 표지(온라인제출용) 교과목명 : 엑셀데이터분석 학 번 : 성 명 : 강 의 실 : 호 연 락 처 : _____________________________ ... 보통 부산광역시가 강수량이 높다는 것은 대부분 아는 사실이다. 이 사실이 공식적인 통계량의 데이터와 일치한다. ... 어느 학생이 20 문항 전체에 대해서 임의로 답안을 적을 때 정답수를 확률변수 X라고 하자. 정답 수가 4개 이하일 확률과 정답 수가 10개 이상일 확률은 각각 얼마인가?
    방송통신대 | 9페이지 | 4,000원 | 등록일 2024.07.11 | 수정일 2024.07.16
  • 한국방송통신대학교 맞춤법과표준어 출석과제_맞춤법의기본원리요약
    「한글 맞춤법」은 한국인이 사용하는 언어의 소리를 기본으로 하여 어법을 정한 규칙이다.
    방송통신대 | 3페이지 | 1,000원 | 등록일 2023.12.26
  • [한국방송통신대학교] 2024년 1학기 데이터베이스시스템 출석수업과제
    데이터베이스시스템, 2020, 한국방송통신대학교출판문화원DBMS 3단계 구조, ER 데이터 모델링 도식화 도구
    방송통신대 | 4페이지 | 3,000원 | 등록일 2024.05.18
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:59 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대