• 통큰쿠폰이벤트-통합
  • 통합검색(696)
  • 리포트(596)
  • 시험자료(58)
  • 방송통신대(33)
  • 논문(6)
  • 자기소개서(3)

"산술논리회로" 검색결과 101-120 / 696건

  • 컴퓨터 하드웨어의 구성요소에 대하여 설명하시오.
    연산장치 : 덧셈, 뺄셈, 곱셈, 나눗셈의 산술 연산만이 아니라 AND, OR, NOT, XOR와 같은 논리 연산을 하는 장치로 제어장치의 지시에 따라 연산을 수행한다.ⅲ. ... DRAM(동적램) : 디램은 이 비트를 집적회로(IC) 안에 각기 분리된 축전지에 담긴 전하량에 의해 기록한다. ... 이 리프레시(refresh)를 위한 제어회로가 컴퓨터 시스템에 탑재되어야 한다. [출처 : 네이버 지식백과]4.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01 | 수정일 2021.05.11
  • 메카트로닉스 마이크로프로세서 Shift Register
    이는 곧 사용자가 작성한 프로그램을 컴파일 한 후 즉시 CPU에 다운로드하여 실행할 수 있다는 장점이 있습니다.마이크로프로세서란 : 마이크로프로세서는 산술/논리/통신/제어 기능을 하는 ... 디지털 회로를 가진 VLSI(Very Large Scale Intergation)이라고 칭한다. ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여 주는 데 자주 사용된다.74HC595 : 시프트 레지스터로 불리며 1개의 핀으로 시리얼(직렬)
    리포트 | 8페이지 | 2,000원 | 등록일 2021.06.11
  • 6주차-실험17 예비 - 쉬프트 레지스터
    또한 데이터 비트의 자리이동을 통해 산술논리연산을 수행할 수 있도록 합니다. ... 2015년도 제2학기기초회로실험Ⅱ기초회로실험Ⅱ실험17. ... 직병렬 쉬프트 레지스터는 일반적인 직렬 쉬프트 레지스터에 병렬 입력 기능을 더한 것으로, PRESET과 CLEAR를 이용해 병렬 데이터 입력을 받고, mode control 신호를 논리적으로
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02 | 수정일 2022.10.17
  • Chap9. PLC응용실험
    래더 다이어그램은 논리 AND의 연산자의 직렬 회로나, 논리 OR의 병렬회로에 분석이 용이하다.PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가 ... NEMA(미국 전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스, 카운터, 타이머, 산술연산 기능을 첨가시킨 디지털 전자장치’로 PLC를 규정하고 있다.PLC의 ... 스위치 2를 누르면 벨트가 정지한다.과제를 완료하기 위해 작성한 코드작성한 회로에 대한 설명첫번째 줄에서 녹색버튼을 누르고, 적색버튼이 눌리기 전까지의 상태를 M0 릴레이더에 저장.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.10.05
  • 서울시립대학교 전전설2 2주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    PAL은 일반적으로 수백개의 논리 게이트를 구현하는 반면 FPGA는 수만에서 수백만에 이른다.두 번째로 macro cell간에 복잡한 피드백 경로 및 정수 산술과 같이 일반적으로 사용되는 ... CPLD 소자- FPGA(Field Programmable Gate Array) Hyperlink \l "주석5" [5]FPGA는 설계 가능 논리 소자와 프로그래밍이 가능한 내부 회로가 ... 클럭은 순차회로의 filp-flop에서 반드시 필요하다.- Reset SwitchFilp-flop의 타이밍을 동기화하기 위해서 Reset을 활용한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.10.24
  • 공대, 소프트웨어학과, 컴공지망 세특, 생기부, 자율주제탐구 (하드웨어, 소프트웨어의 구성), 24년 수시 합격생의 생기부 자료
    반도체인 실리콘 판에 직접회로 기억소자를 대량 집적한 것2. 크기가 작고, 속도가 빠르며 전력소모가 적음3. 고속의 데이터 처리가 가능함4. ... 뿐 만 아니라, AND, OR, NOT, XOR와 같은 논리 연산을 하는 장치로 제어장치의 지시에 따라 연산을 수행한다.레지스터: 중앙 처리 장치 내부에서 처리할 명령어나 자료 그리고 ... AMD 라이젠 7 5800X제어장치: 프로그램 명령어를 해석하고, 해석된 명령의 의미에 따라 연산 장치, 주 기억 장치, 입출력장치 등에게 동작을 지시하고 통제한다.연산 장치: 산술연산
    리포트 | 2페이지 | 1,000원 | 등록일 2022.05.28 | 수정일 2024.08.27
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    래더다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는 장치가 ... NEMA(미국전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스,카운터,산술연산 기능을 첨가시킨 디지털 전자장치’로 PLC를 규정하고 있다.PLC의 세 가지 주요 ... 논리회로의 형태와 같은 구조를 기술하는데 필요한 수학적 이론으로 변수들의 입출력관게를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참과 거짓의 두 값만을 가지는 변수이다.이름기호예위치ANDBULLET
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • [컴퓨터개론 과제 A+] 컴퓨터 발전의 역사를 간략하게 기술하고 각 세대별 컴퓨터의 특성을 기술하시오.
    이러한 성능 향상을 위해서는 대규모 병렬처리 시스템, 논리적 추론을 지원하는 연산 기능, 병렬처리 개념 및 논리 프로그래밍과 인공지능 기법을 내포하는 추상적인 언어가 개발되어야 한다.III ... 분석기는 천공카드 입력 시스템과, 밀(Mill)이라는 기억장치와 연산장치로 산술연산이 자동적으로 기능하도록 설계되었다. 하지만 분석기는 계산기 장치로 개발되지 못했다. ... 또한 자신이 직접 논리적인 추론을 수행하여 스스로 문제를 해결할 수 있을 것이며, 현재보다 더 작은 크기일 것이고, 초고속 연산속도와 더 사용자에게 친숙한 인터페이스가 형성될 것이다
    리포트 | 9페이지 | 2,000원 | 등록일 2022.05.23
  • C언어정리 첫번째
    -컴퓨터는 0과1로 표현하는데 0과1로 냅 스위치 회로를 on과off상태로 변환하여 작업한다. 0일 때 회로를 끄고 1일 때 회로를 킨다. ... y: z5.2산술 연산자●산술 연산자연산자기호덧셈+뺄셈_곱셈*나눗셈/나머지%▷#include int main(void){int x, y, result;printf("두) ... -논리 연산자에서 &&는 AND이고 ||는 OR이고 !는 NOT이다참은 0이 아닌수를 말하는 것이고 1로 출력된다.거짓은 0인 수를 말하는 것으로 0으로 출력된다.
    리포트 | 33페이지 | 1,000원 | 등록일 2021.01.02
  • 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    우변에는 레지스터, Wire, Parameter 형을 모두 사용할 수 있으며 산술·논리·조건 연산식을 사용할 수 있다.2) Initial 문Initial 문은 시뮬레이션을 위한 구문으로 ... 베릴로그의 게이트 수준의 회로 모델링에는 and, nand, or, nor, xor, xnor 등의 논리 게이트가 사용되며 0과 1로 구성된 두 개 이상의 입력과 하나의 출력을 가진다 ... 참이면1b’1이고 거짓이면 1‘b0이 되는 관계 연산자, 논리조건을 표현하는데 사용하는 논리 연산자, 수식을 나하게 되는데 다음 상태의 count 값은 현재 상태의 count 값에
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 컴퓨터구조및설계 복습문제
    기억장소들을 결정하는데 필요한작업들을 포함한다.- 산술논리연산장치(ALU)어떤 명령어에 의해 지정된 연산을 수행한다.- 적재/저장 논리(load/store logic)데이터를 캐시에 ... 코어의 주요 구조적 요소들을 열거하고 간략히 정의하라.- 명령어 논리(instruction logic)이 회로는 명령어들을 인출하고 각 명령어를 해독하여 명령어 연산과 오퍼랜드들의 ... - 컴퓨터 구조시스템의 기본적 특성들 중에서 프로그래머에게 보이는 부분들, 즉 프로그램의 논리적 수행에 직접적인 영향을 주는 시스템 특성들을 말한다.- 컴퓨터 조직구조적 규격에서 정의한
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.30
  • 디지털 시스템 제어공학
    논리 장치), 32개의 범용 레지스터,상태 레지스터(SREG), SP(스택 포인터)등의 명령처리 관련 부분과 데이터 메모리 및 인터럽트 처리기 등으로 이루어져 있습니다.상태 레지스터 ... :상태 레지스터(Status Register, SREG)는 ALU가 가장 최근에 실행한 산술연산 명령의 결과의 상태를 표시하는 레지스터입니다. ... 포함하고 있으며 DRM의 이벤트 시스템을 내장하고 저 전력/고성능 시장에 적합한 마이크로 계열입니다.4)Automotive AVR: 자동차 등에서 사용하는 CAN통신 회로를 내장하고
    리포트 | 12페이지 | 1,500원 | 등록일 2024.05.09
  • 컴퓨터일반 시험대비 요점정리
    .- 연산장치(ALU, 산술논리연산장치)· 시프터 : 왼쪽, 오른쪽으로 이동시켜주는 회로.· 가산기 : 덧셈을 계산하는 회로.· 보수기 : 뺄셈을 위한 보수를 만들어주는 회로.· 오버플로우검출기 ... 논리회로- 조합 논리회로(논리회로 여러 개를 조합해서 특정기능 수행)· 종류 : 반rite) : 쓰기 후 읽기-> WAR해저드(Write, After Read) : 읽기 후 쓰기-> ... ’) (같으면0, 다르면1), 반전을 이용한 보수 생성.· XNOR : NOT + XOR- 유니버셜 게이트(단일게이트로 모든 논리회로 구현 가능)· 1NAND => NOT / 1NOR
    시험자료 | 24페이지 | 2,500원 | 등록일 2020.10.28 | 수정일 2020.12.11
  • 전자전기컴퓨터설계실험2(전전설2) (1) TTL Gates Lab on Breadboard
    가산기는 산술 논리 장치뿐만 아니라 주소값, 테이블 색인 등을 더하는 프로세서의 한 부분으로 사용되고 있다. ... Adder가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다. ... IC 기술의 발달로 논리 회로의 주력 자리를 CMOS에게 양보했다.
    리포트 | 19페이지 | 2,000원 | 등록일 2019.10.06 | 수정일 2021.04.29
  • 디지털시스템실험 2주차 예비보고서
    연산자Verilog의 연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있다. ... HDL의 주요한 사용은 설계자가 설계회로를 제작하기 전에 회로의 동작여부를 시뮬레이션하는 도구이다.4. Verilog의 문법? ... 논리 연산자 중 비트 단위의 연산자는 다음과 같다.연산자의미&비트 AND|비트 OR~비트 NOT^비트 XOR^~, ~^비트 XNOR?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털 논리회로 실험 6주차 ALU 결과보고서
    수행하는 산술논리 연산 장치인 ALU를 이용하여 다양한 수학적 연산들을 해보고 데이터 값을 받아 그 값들을 비교해보는 실험을 하였다. ... 디지털 논리회로 설계 및 실험결과보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 응용실험 (2) 회로2.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.22
  • 워드프로세서 필기 빈출 개념 노트
    연산 장치의 종류① 누산기· 산술 연산 및 논리 연산의 결과를 일시적으로 기억하는 레지스터② 가산기· 수의 합을 출력하는 논리 회로 전 ... 디지털컴퓨터와 아날로그 컴퓨터디지털 컴퓨터아날로그 컴퓨터· 논리 회로· 증폭 회로· 숫자나 문자로 표시· 그래프나 곡선으로 표시· 이산적인 데이터· 연속적인 데이터· 사칙연산· 미· ... 세대별 주요 소자· 1세대(진공관) → 2세대(트랜지스터) → 3세대(IC:집적회로) → 4세대(LSI:고밀도 집적회로) → 5세대(VLSI:초고밀도 집적회로)3.
    시험자료 | 27페이지 | 1,500원 | 등록일 2024.08.17
  • 방통대 2020년 2학기 컴퓨터구조 기말과제
    ALU는 기본적인 산술연산과 논리연산을 실행하는 조합논리회로이다.2018학년도 15번제어단어의 A, B, D 필드는 레지스터의 수와 관련이 있으며, 40개의 레지스터 중 하나를 선택하기 ... 따라서 (나)와 (라)에 해당되는 것으로 묶인 보기는 (2)번이다.2018학년도 13번(다)는 산술/논리연산장치(ALU)이다. ... 따라서 (3)번이 옳은 설명이다.2019학년도 6번0-주소 명령어 형식은 산술연산에 관련된 명령어들을 수행할 때, 기억장치 스택을 사용한다. 1-주소 명령어 형식은 기억장치로부터 오퍼랜드를
    방송통신대 | 8페이지 | 20,000원 | 등록일 2020.11.22
  • [기초전기전자실험] 실험 20 PLC 제어 실험보고서
    시퀀스 제어, 산술 연산, 논리 연산, 함수 연산 등의 데이터 처리가 가능한 자율성이 높은 장치이다.3. ... LadderDiagram)FBD(FunctionBlockDiagram)IL(InstructionList)ST(StructuredText)SFC(SequentialFlow Chart)특징릴레이 시퀀스회로와 ... PLC의 장단점(1)특징컴퓨터와 정보교환이 가능하다.반영구적인 수명을 가진다.릴레이 시퀀스에 비해 더 경제적이다.(2)PLC의 장점산술, 비교등의 연산처리가 가능하다.자가 진단기능이
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.13
  • VLSI 프로젝트 보고서
    ALU는 정수형 산술 논리 장치로 수학연산, 논리연산, 쉬프트 등의 수학적 연산을 담당한다. ... 논리 연산은 비트별로 AND, OR, XOR, NOT 등의 논리연산을 실행한다.마이크로프로세서는 집적 회로 기술로 비용이 저렴하고 고속으로 동작할 수 있다. ... 기계어 코드를 실행하기 위해 실행과정을 단계별로 나누어 처리를 위한 마이크로 코드를 작성하고, 이 마이크로 코드에 의해 단계적으로 처리하는 논리회로를 말한다.
    리포트 | 50페이지 | 3,000원 | 등록일 2020.03.12 | 수정일 2020.03.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대