• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9,648)
  • 리포트(9,009)
  • 시험자료(302)
  • 자기소개서(141)
  • 방송통신대(94)
  • 서식(77)
  • 논문(16)
  • ppt테마(5)
  • 노하우(3)
  • 이력서(1)

"시계판" 검색결과 101-120 / 9,648건

  • 일반화학실험2 루미놀의 발광반응 full report
    이러한 원리로 어두운 곳에서도 루미놀을 뿌려주면, 활성 산화제와 반응하여 빛을 내기 때문에 혈흔을 찾아낼 수 있다.시계반응 :시계반응은 예측 가능한 시간을 유도한 후에 관측 가능한 ... 시간이 지남에 따라 반응 속도가 변하고 화합물들간의 농도 변화가 주기적으로 일어나면서 발광이 일어난다.Apparatus :10ml 눈금 실린더100ml 비커가열교반기 : 가열판을 사용하여 ... O2를 생성해내고 O2에 의해 루미놀이 산화되고 삼중항 상태에서 단일항 바닥 상태로 돌아가며 형광이 발생하는 원리이다.Reference대한화학회(2011), 표준 일반화학실험 제 7판,
    리포트 | 6페이지 | 2,000원 | 등록일 2024.08.13 | 수정일 2024.08.14
  • 디자인씽킹과비즈라이팅) 헨리 포드 평전화 과제
    포드는 어린 나이에도 주변 환경 속에서 갖게 된 흥미로, 오랜 실험에 사용될 ‘좋은 아이디어’의 발판을 만들기 시작했다.그러다 12살 되던 해, 포드가 일컫는 2개의 큰 사건이 일어난다 ... 두 번째 사건으로는 시계를 얻어, 시계에도 흥미를 가진다. 이후 13살에는 시계를 혼자 조립하는 데 성공했으며, 15살에는 시계에 대해 모르는 것이 없는 수준이었다. ... 견습생 기한 3년이 끝나기도 전에 기계공 자격 얻었고, 밤에는 보석상 수리를 하며 300개가 넘는 시계를 고쳤다.
    리포트 | 2페이지 | 2,000원 | 등록일 2023.02.17
  • (실험가이드)크로마토그래피 PPT
    시료의 반점이 전개제에 잠기지 않도록 TLC판을 세우고, 시계접시를 덮어서 전개제가 증발하지 않도록 한다. ... 위의 경우과 같을 때 전개 순서는 순상과는 반대로 A B가 된다.실험 기구 및 시약250㎖ 비커 시계접시 모세관 TLC판 적색 40호, 황색 5호, 청색 1호 식용 색소 및 미지 시료 ... 전개제가 TLC판의 위쪽 끝에서 1cm정도 떨어진 곳까지 도달하면 TLC판을 꺼내서 말린 다음에 Rf값을 측정한다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.12.11
  • <백범일지> 독후감
    백범은 살아생전 나라를 걱정하는 가까운 사람들한테 서산대사의 시구를 자주 써 주었다고 한다.눈 덮인 들판을 걸어갈 때함부로 걷지 말지어다. ... 마침 시계가 7시를 치자 윤봉길은 자기 시계를 꺼내더니 ”제 시계는 6원을 주고 산 것입니다. 선생님 시계는 2원짜리입니다. ... 제 시계는 이제 한 시간밖에 쓸 일이없습니다.“ 라며 서로 시계를 주고받고 작별 인사를 하였다. 윤봉길의 의거는 일본군 대장을 죽이고 고위 간부 10여명에게 중상을 입혔다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.10.15
  • 몬테소리활동 평가 정리 예시안
    시계활동을 통해 시간의 연속성을 자연스럽게 알아보며 다양한 수의 개념을 좀 더 구체적으로 알아볼 수 있는 시간이었습니다. ... 시계활동을 통해 시간의 연속성을 자연스럽게 알아보며 다양한 수의 개념을 좀 더 구체적으로 알아볼 수 있는 시간이었습니다. ... 몬테소리활동 평가 예시 정리언어활동으로 자석 글자판 교구를 활용하여 흥미를 갖고 자음과 모음을 조합하여 단어카드를 보고 만들어보고 스스로 단어를 생각하여 글자를 조합하여 만들기를 즐깁니다
    리포트 | 3페이지 | 16,000원 | 등록일 2022.12.23
  • 관성 모멘트 실험
    부호는 반시계방향일 때 (+) , 시계방향일 때 (-) 로 정의한다.이때 시간 동안 각이 만큼 변하면 평균 각속도를 다음과 같이 정의한다.가 0으로 접근할 때의 극한값을 (순간) 각속도 ... 회전판의 반지름은 0.03m 이고 추의 무게는 33.5g 이다.식 (1)을 이용해 실험값 관성모멘트 를 구하면 을 얻는다.그림 4그림 4는 회전판에 직사각형 물체를 올린 그래프를 나타낸 ... 작용하면 (+) , 시계방향으로 작용하면 (-) 값을 갖는다.힘의 수직성분을 라 하면 토크 이다.이때 이므로 토크 로 표현할 수 있다.1.3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.10.30
  • [일반화학및실험] 크로마토그래피 A+
    손목시계나 회중시계에 있는 지침 보호용 유리와 매우 흡사항 모양의 원형 유리조각- 먼지 제거용, 간단한 환류용, 점적판의 대용, 스푼테스트용으로 매우 용도가 넓다.- 이 실험에서는 ... 시료의 반점이 전개제에 잠기지 않도록 TLC판을 수직으로 세우고 시계접시를 덮어서 전개제가 증발하지 않도록 한다.전개제가 TLC판의 위쪽 끝에서 1cm 정도 떨어진 곳까지 도달하면 ... , 모세관, TLC판 (폭 8cm, 높이 6cm 정도) 적색 40호, 황색 5호, 청색 1호 식용색소,전개제 (1-뷰탄올 : 아세트산 : 증류수 = 60 : 15 : 25)시계접시-
    리포트 | 6페이지 | 1,500원 | 등록일 2020.06.12 | 수정일 2023.10.24
  • 부산대학교 일반물리학실험1 원운동과 구심력 보고서
    지시기 판이 지시기 선반과 일치할 때 물체는 수직으로 매달려 있으며, 설정된 반지름으로 회전한다.⑦ 이 속도를 유지하면서 10회 회전 시간을 초시계로 잰다.⑧ 회전 반경 r을 일정한 ... 지시기 판이 지시기 선반과 일치할 때까지 속도를 증가시킨다.⑥ 이 속도를 유지하면서 10회 회전 시간을 초시계로 잰다.⑦ ② ~ ⑥의 과정을 반복한다.⑧ 측정값으로부터F(Mg ) - ... 실험 기구 및 재료구심력 측정 장치, 초시계, 추와 추걸이, 저울, 실4.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.06.21
  • 물리 결과 레포트 – 전자의 e/m 측정
    자기장에 의한 전자의 운동 현상: 진공관을 시계방향으로 (오른쪽으로 10도) 회전시켰을 때, 전자빔이 뒤쪽으로 나선형을 그렸고, 진공관을 반시계방향으로 (왼쪽으로 10 도) 회전시켰을 ... 특히, 전자가 편향판이 양일 때에 위쪽으로 휘고, 편향판이 음일 때에 아래쪽으로 휘는 것으로 보아 전자는 전기장의 방향에 반대로 힘을 받는 것을 알 수 있다. ... 전기장에 의한 전자의 운동 현상: 편향판을 Positive(+)로 설정했을 때, 전자빔이 위쪽으로 휘었고, 편향판을 Negative(-)로 설정했을 때에는 전자빔이 아래쪽으로 휘었다
    리포트 | 4페이지 | 1,500원 | 등록일 2020.05.07
  • 면접준비
    최근 관심사항 한 가지 선택하시고 그 이유와 그에 대한 본인의 의견을 이야기해주십시오- 한국판 그린 뉴딜에 대해서 관심이 있습니다.그린 뉴딜은 환경과 사람중심의 지속 가능한 성장을 ... 말합니다.전 세계적으로 이도씨가 올라 간다면 기후 재앙이 온다고 합니다.독일 MCC연구소 사이트에서 나온 탄소 시계에 의하면온실가스가 배출 되는 량으로 계산을 해볼 때 이도씨가 올라가는
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.09.22
  • [일반화학실험]얇은 막 크로마토그래피
    들어 있던 용매가 모두 증발하도록 약 1~2분간 방치한 다음, 이 판을 용매가 약 0.5㎝ 깊이로 들어 있는 비이커에 세워서 시료 반점이 용매에 잠기지 않도록 놓고 시계접시로 비이커를 ... (알리자린 옐로우, 메틸렌 블루, 페놀 레드)메스실린더비이커시계접시4. ... 얇은 막 크로마토그래피에서는 유리판이나 플라스틱판과 같은 받침판에 silicagel과 같은 고체 흡착제의 얇은 막을 입혀서 사용한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2022.08.20
  • 중앙대 일반물리실험2 기초 자기장 & 기초 전자기 유도 실험
    만일, 아연판을 향하는 쪽의 자석의 극을 N극이라고 하면, 아연판에 발생하는 맴돌이 전류의 방향은 시계 방향일까? 아니면, 반시계 방향일까? ... 낙하하는 아연판을 위에서 바라봤을 때로 하여 방향을 논하여라. ... [실험5] – 맴돌이(Eddy) 전류의 발생물음 15: 실험 과정 3에서 관찰되는 아연판의 운동 양상을 기술하여라.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.11
  • (한국사의 이해) 다음 문제 중 하나를 선택하고 서술해주세요
    과학 기술을 장려하며 종합 자동 물시계인 자격루와 해시계, 측우기 등을 인재를 등용해 발명하였고, 조선 실정에 맞는 농법서 을 편찬하였다. ... 또한 종전 이후에도 후견 국가들과 군사동맹을 맺어 한반도에 영향력을 행사하는 발판이 되었다. ... 이것을 발판 삼아 1960년대부터 1980년대까지 이어지는 두 번의 군사 쿠데타 등 군부독재 정치체계가 세워지게 되었다. 즉, 한국 현대사에 지대한 영향을 끼치게 된 것이다.
    방송통신대 | 6페이지 | 2,000원 | 등록일 2023.02.23
  • 2020-2최신자료> 도시문화와디자인 2020-2 퀴즈자료
    (앙부일구)는 세종 16년(1434)에 장영실, 이천, 김조 등이 만들었던 해시계시계판이 가마솥 같이 오목하고, 이 솥이 하늘을 우러르고 있다고 해서 이런 이름을 붙였다.
    시험자료 | 2페이지 | 2,500원 | 등록일 2021.02.07
  • 박막 공정 및 실험 보고서
    .ⓑ 전극 판을 마그넷 판에 끼워 집어넣는다. ... Chamber 및 장비 아래의 H.L.V(venting valve)를 반시계 방향으로 돌려 진공을 상압으로 풀어준다. ... 장비 위에 놓여 있는 Hand Controller를 시계방향으로 천천히 돌려 전류 및 전압을 30A~50A까지 올린다.
    리포트 | 4페이지 | 1,500원 | 등록일 2021.04.21
  • [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    ://murcielrago.tistory.com/13 [디지털 클락] Digital Clock 제작에 필요한 IC Chiphttp://rabe.egloos.com/1589239 빵판에다 ... 실험 목적7조제출일자팀원이름(학번)설계 목표● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다.- SET 단자를 누를 시 시계의 동작 기능을 한다(시/분/ ... 만드는 디지털 시계(Digital Clock)https://www.youtube.com/watch?
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 일반화학실험 시계반응pre
    / 2018 / p201~206- Raymond Chang / 레이먼드 창의 일반화학 제 12판 / 사이플러스 / 2018 / p.554~557, ... Reference-Steven S.Zumdal / 줌달의 일반화학 / cengage / 2019 / p.601~603, p581~583-대한화학회 / 표준일반화학실험 제 7판 / 대한화학회 ... Principle-시계 반응시계 반응은 속도 결정 단계가 포함된 메커니즘으로 일어나는 화학 반응이 시작되어 일정 시간이 지난 후 용액의 색이 바뀌거나 침전물이 생겨 뚜렷한 변화를 일으키는
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.01
  • 만5세 1학기 유아 관찰일지종합발달평가 5명
    라고 궁금해 하였다.놀이활동OO가 롤러에 물감을 적셔 판에 롤러를 굴려 가며 물감을 묻힌다. 롤러를 내려놓고 물감을 묻힌 판 위에 종이를 올려 손바닥으로 문지른다. 혼잣말로 ?? ... 교사가 시계를 가리키며“12,11,10,9 이렇게 왼쪽으로 도는 걸 반시계방향이라고 말해요”라고 말하자 시계를 보며 “12,11,10,9,8”말하며 거꾸로 숫자를 센다.놀이활동.공룡 ... 교사가 “시계에 있는 1,2,3,4 순서대로 방향이예요”라고 말하자 “그럼 반시계방향은요?”라고 묻는다.
    리포트 | 5페이지 | 4,000원 | 등록일 2024.08.24
  • 신체 내부에서 들을 수 있는 타진음의 특성을 소리, 질, 높이, 강도. 부위별로 설명하시오
    이 때 유방은 시계의 글자판을 연상하면서 12시 방향에서부터 시작하여 1시, 2시 방향으로 돌아가면서 유방의 외곽에서부터 유두를 향해 반복하여 시행한다. ... 유방을 진찰하고자 할 때는 오른쪽 어깨 밑에 얇은 베개나 접은 담요 등으로 낮게 고이고 오른쪽 팔을 머리위로 높은 다음 어깨 및 가삼의 힘을 완전히 뺀 상태에서 왼손이 손끝으로 시계 ... 있는지 관찰한다.(2) 촉진① 유방, 유두, 액와 림프절 촉진② 양손 촉진법에서는 한 손으로 유장 아래를 받치고 다른 손으로 촉진③ 촉진 순서 : 상외방부터 말초에서 중심을 향하여 시계
    방송통신대 | 8페이지 | 3,000원 | 등록일 2021.02.25
  • 초등 4학년 수학 평면도형의 이동 마지막 차시 수업안입니다.
    1 ♡- ♡ : 시계 반대 방향으로 90 돌리기- 4 : 아래로 뒤집기- - : 시계 방향으로 270도 돌리기- 1 : 왼쪽으로 뒤집기 후 시계 반대 방향으로 90도 돌리기- ♡ : ... (온라인 게임에서는 뒤집기가 없다)*게임판은 교실 바닥으로 하고 게임 블록은 지난 미술시간에 학생들과 만들어 놓은 것으로 사용한다.학 습과 정중 심내 용교 수?
    리포트 | 2페이지 | 2,500원 | 등록일 2022.06.29
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대