• 통큰쿠폰이벤트-통합
  • 통합검색(3,530)
  • 리포트(3,044)
  • 방송통신대(194)
  • 시험자료(169)
  • 자기소개서(82)
  • 서식(27)
  • 논문(9)
  • 이력서(3)
  • ppt테마(1)
  • 노하우(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"정보통신망시험" 검색결과 101-120 / 3,530건

  • 유비쿼터스와_의사결정_중간고사_서술형_문제
    즉, 정보통신 기술(ICT)의 융합으로 이루어지는 차세대 산업혁명이다. ... 가능하다.스마트홈에너지 소비장치(수도,전기,냉난방)과 가전제품(TV,에어컨,냉장고 등), 보안기기(도어록, 감시카메라 등) 이외에 가정안에 모든 것을 휴대전화 및 기기를 이용하여 통신망으로 ... 제3차 산업혁명과 제4차 산업혁명의 차이점을 기술하시오.3차 산업혁명은 디지털 혁명으로 개인용 컴퓨터, 인터넷, 정보통신기술(ICT), 스마트폰 등의 디지털 기술의 발전이다. 4차
    시험자료 | 4페이지 | 2,000원 | 등록일 2023.06.18
  • 1. 방송대 교재 전자무역론 8강 <전자무역 인프라>를 요약 정리하시오. (20점) 2. 방송대 교재 전자무역론 10강 <전자무역의 활용>을 요약 정리하시오. (20점) 3. 전자무역의 미래에 대해 기술하시오. (30점)
    손쉽게 이용·관리 가능하도록 하고 있다.전자무역기반시설은 전자무역문서의 중계와 보관 및 증명 등의 업무를 수행하는 정보시스템으로 정보통신망을 통해 무역업자와 무역관계기관을 연계한다는 ... 둘째, 전자무역의 기술적 요소에는 하드웨어, 소프트웨어, 네트워크 등이 포함되는 기반기술과 암호화통신 프로토콜, 가상사설통신망 같은 통신보안과 함께 공개키 기반구조, 2차원 바코드 ... 이렇게 정보통신기술의 발달로 등장한 전자무역에 대해 방송대 교재 8강 와 10강 을 요약 정리 후 전자무역의 미래에 대해 본 과제를 통해 알아보고자 한다.Ⅱ. 본론1.
    방송통신대 | 7페이지 | 4,500원 | 등록일 2024.01.10 | 수정일 2024.02.02
  • 미국, 중국, 일본, EU의 5G 도입 현황 및 전략
    우리나라 이동통신 3사도 이에 대응하여 5G 전국망을 구축하기 위해 202년까지 총 25조 원을 투자할 계획을 밝혔다(SK증권, 2020).특히 통신장비 기업들의 경쟁은 더욱 심화되는 ... 연구개발, 테스트 및 평가를 육성하고 촉진하기 위해 동맹국과 협력국 뿐만 아니라 민간 부문과도 적극적으로 협력할 계획이다(한국인터넷진흥원, 2020).더불어 트럼프 대통령은 차세대 통신망 ... 기지국, 중계기 등을 연결하는 초고속 네트워크망을 건설하는 것이 관건이다.
    리포트 | 21페이지 | 2,500원 | 등록일 2021.03.30
  • [해양수산부] ((갑판ㆍ기관ㆍ운항) 당직부원 자격증, (갑판ㆍ기관ㆍ운항) 유능부원 자격증, 위험물적재선박 승무자격증 (기초 유조선 및 케미컬탱커ㆍ상급 유조선ㆍ상급 케미컬탱커ㆍ기초 액화가스탱커ㆍ상급 액화가스탱커), (기초ㆍ상급) 가스연료추진선박 승무자격증, 구명정 조종사ㆍ고속구조정 조종사 자격증, 선박조리사 자격증, 의료관리자 자격증)발급신청서
    사진 1매(최근 6개월 이내에 촬영한 가로 3.5cm, 세로 4.5cm 사진 또는 정보통신망에 이용되는 사진이미지 파일). ... 다만, ①, ②, ③, ④ 신청의 경우에는 선원수첩 미소지자만 해당합니다.※ 정보통신망으로 ①, ②, ③, ④, ⑤, ⑥, ⑦ 첨부서류의 확인이 가능한 경우는 첨부서류의 제출을 생략할 ... 연월일시험실시기관⑦의료관리자교육이수 연월일교육실시기관시험합격 연월일시험실시기관위와 같이 [ ] 갑판( )·기관( )·운항( ) 당직부원, [ ] 갑판( )·기관( )·운항( ) 유능부원
    서식 | 2페이지 | 무료 | 등록일 2023.03.13
  • 소비자법3공통) 데이터 3법개인정보보호법 정보통신망이용촉진및정보보호 등에 관한 법률정보통신망법 신용정보이용및보호관한 법률신용정보법 논해 보시오0k
    관한 정부조직법 제18조의 적용이 제외된다.2) 정보통신망이용촉진및정보보호등에관한법률(정보통신망법)정보통신망 이용촉진 및 정보보호 등에 관한 법률은 대한민국 법률 중의 하나로서, ... 소비자법3공통) 데이터 3법개인정보보호법 정보통신망이용촉진및정보보호 등에 관한 법률정보통신망법 신용정보이용및보호관한 법률신용정보법 논해 보시오0k법학과 소비자법3공통 데이터 3법(개인정보 ... 보호법, 정보통신망 이용촉진 및 정보보호 등에 관한 법률(정보통신망법), 신용정보의 이용 및 보호에 관한 법률(신용정보법))의 주요 개정 내용(2020년 2월 4일 개정, 8월 5일
    방송통신대 | 9페이지 | 6,000원 | 등록일 2020.09.08
  • 보건의료데이터 핵심정리
    정보통신의 표준화 적용이 필수적3) 정보통신의 표준화: 각종 정보시스템이 통신망으로 연결되어 통신서비스를제공하거나 이용하는데 필요한 통신주체 간의합의된 규약을 정립하는 활동을 의미제품 ... 표준화 기구 및 활동의료정보분야의 주요 표준개발기구(SDO)로는 국제표준화기구(ISO), 유럽표준협회(CEN), 미국표준협회(ANSI), 미국재료시험협회(ASTM), DICOM, HL7이 ... 정보통신의 표준화의 특성)특성설명상호운용성(interoperability)동일하거나 같은 기종의 정보 시스템 기기 및 서비스 간의 상호 원활한 통신이 가능하고 정보교환이나 일련의 처리를
    리포트 | 5페이지 | 1,000원 | 등록일 2020.06.17
  • 넷세대를 정의하고 그 특징과 인간관계에 미치는 영향에 대해 설명하시오
    그리고 그것을 통해 정보를 얻거나 주고받는 통신망을 통해 교육을 받고 성장한 세대를 말한다. ... 우리나라 인터넷과 스마트폰 보급률은 이미 90% 넘어섰고, 일방적인 지식, 정보전달이 아닌, 쌍방향 통신으로 논쟁을 벌이는 등 적극적이도 능동적인 특성을 지닌 넷세대가 나타난 것이다.그렇다면 ... 예를 들어, 인간관계를 넷세대들은 게임을 통해 다양한 자신의 다양한 역할을 시험한다.
    리포트 | 3페이지 | 1,500원 | 등록일 2022.04.21 | 수정일 2022.07.23
  • [경영패러다임] 경영패러다임의 전환과정을 단계별로 설명하고 관련 사례를 조사하시오
    때문에 정보통신 분야는 하루가 다르게 급성장하고 있으며, 관련업계의 기술진보는 발 빠르게 이루어지고 있다. ... 더불어 외산 일색이던 유공압기기의 상당 부분을 국산화해 유 국내 신뢰성 및 내구성 시험장비 제작의 선두주자로서 하루가 다르게 변화하는 시험장비의기술발전을 이끌어 가기위해 새로운 제품 ... 개발에 최선을 다하고 있으며, 전사원 “R&D연구원”화 하여 국내 최초로 2-zone및 3-zone방식의 열충격 시험기 및 일산화탄소 가스 시험기를 개발, 이외도 다종의 기기 개발을
    리포트 | 9페이지 | 3,000원 | 등록일 2023.06.21
  • 정보통신망4E 디지털 배지 Digital Badge에 관하여 조사하여 설명하고 활용될 수 있는 정보통신 기술 서술하시오0k
    정보통신망4E 디지털 배지 Digital Badge에 관하여 조사하여 설명하고 활용될 수 있는 정보통신 기술 서술하시오0k컴퓨터과학과 정보통신망4E형디지털 배지(Digital Badge ... 이 장에서는 정보통신망4E형 디지털 배지(Digital Badge)에 관하여 조사하여 설명하고 디지털 배지를 위미 주요 대학과 여러 기업들이 디지털 배지를 적극 활용하고 있다. ... )에 관하여 조사하여 설명하고 디지털 배지를 위해 활용될 수 있는 정보통신 기술에 관하여 서술하시오.Ⅰ.
    방송통신대 | 8페이지 | 8,000원 | 등록일 2023.03.28
  • [인적자원관리] 인천국제공항공사 인적자원관리 조사 분석 보고서
    운전운송항공운전운송항공운항항공보안토목대분류중분류소분류세분류토목건설건설공사관리건설시공전관리설계기획관리건설시공후관리유지관리토목토목설계감리공항설계토목건설사업관리건축대분류중분류소분류세분류건축건설건축건축설계감리건축설계건축감리건축설비설계시공건축설비유지관리기계대분류중분류소분류세분류기계기계기계설계설계기획기계설계기획기계품질관리기계품질관리기계품질관리기계장치설치기계장비설치정비운반하역기계설치장비승강기설치장비냉동공조설비냉동공조유지보수관리전기대분류중분류소분류세분류전기전기전자전기지능형전력망설비지능형전력망설비전기기기제작전기기기유지보수전기설비설계감리전기설비설계전기설비감리통신전자대분류중분류소분류세분류통신전자정보통신정보기술정보기술운영IT시스템관리통신기술무선통신구축무선통신시스템구축전산대분류중분류소분류세분류전산정보통신정보기술정보기술전략기획정보기술전략정보기술개발보안엔지니어링정보기술운영IT시스템관리통신기술통신서비스콘텐츠사용자서비스안전 ... 인성면접 종료 후에 토론면접을 진행하는데 공항과 관련된 토론 주제가 나온다.2차 면접(논술 시험, 심층 면접)2차 면접시에는 인문학 논술시험을 통해 논리성을 평가하게 되고, 이후 주제발표를 ... 공인어학성적, 우대사항을 확인한다.필기 전형필기시험은 1교시 인성검사, 2교시 직업기초능력평가, 3교시 직무수행능력평가로 이루어진다.
    리포트 | 14페이지 | 2,500원 | 등록일 2021.01.04
  • 보건의료정보관리학, 의무기록학 요점정리
    : 근거리 통신망ISDN(종합정보통신망) : 여러가지 서비스를 하나의 망통해 제공ATM망 : 대용량의 데이터를 빠른 시간에 전송 할 수 있는 최신 통신기법 PACS보건정보관리자양질의 ... , 모든 검사결과, 치료, 퇴원결과, 최종진단명,Follw-Up, 퇴원약, 교육, 서명=> 입퇴원 기록 / 퇴원요약 주진단은 일치해야함작성목적효과적 진료 연계성 있는 진료,전문의 시험응시 ... 명칭변경1-2의료서비스 형태의 변화기본병상의 감소기술위주 의료 => 인간중심의 의료병원중심 => 지역사회 중심(치료->예방)개별환자 => 특정 집단중심입원치료 => 외래치료치료 => 예방통신기술LAN
    시험자료 | 9페이지 | 3,500원 | 등록일 2023.01.16
  • 동국대학원 사이버포렌식 학업계획서
    침해 사고의 핵심인 정보통신망에 부당한 방법을 통해 해킹이나 내부 사설망 침투 또는 서비스 거부 등을 발생시키는 행위에 대해서 해킹범의 침투 경로나 악의적인 행위를 발생시키고 남긴 ... 다가왔고 친밀감 및 성적 상승에 대한 효율적인 개선 방법에 대해서 과대 총 회장과 의논하여 MT 활동 및 과목 의논을 자주 진행하는 방식으로 적용하여 주말에는 MT 활동 위주로 시험 ... 그중에 사이버 포렌식은 이러한 해킹범죄에 대해 침해 사고의 원인을 파악하고 정보를 수집 및 분석하여 해당 정보의 단서와 증거를 찾아내는 과정이라고 생각합니다.
    자기소개서 | 1페이지 | 15,000원 | 등록일 2023.10.20 | 수정일 2024.01.19
  • 유비쿼터스 컴퓨팅의 발전 및 확장 방향 설명
    VISION 2006’에는 지금까지의 국가 정보화 수준을 한 단계 업그레이드 할 수 있는 IPv6, 무선인터넷과 같은 차세대 기술을 반영한 초고속정보통신망 고도화, 홈네트워킹 기반 ... ’으로부터 출발한다.제1, 2차 국가기간전산망사업(1987~1996)의 일환으로 추진된 행정전산망 사업을통해 주민등록, 부동산, 자동차 등의 국가 운영의 기본정보에 대한 데이터베이스 ... 시험문제는 정해진 시간 안에 풀어 각종 자격시험을 치루는 형태도 있고학습을 지원하는 서비스도 관리를 할수 있다.
    방송통신대 | 7페이지 | 4,500원 | 등록일 2022.05.08
  • IT와경영정보시스템 ) 망이용대가를 받으려는 인터넷 서비스 제공업체(통신사, ISP)의 입장과 망이용대가를 지불하지 않으려는 유튜브, 넷플릭스 등 글로벌 콘텐츠 제공업체(CP)의 입장, 그리고 정부를 비롯한 다른 이해관계자의 입장을 요약하여 정리하시오.
    제공업체(통신사, ISP)의 입장과 망이용대가를 지불하지 않으려는 유튜브, 넷플릭스 등 글로벌 콘텐츠 제공업체(CP)의 입장, 그리고 정부를 비롯한 다른 이해관계자의 입장을 요약하여 ... 서비스 제공업체(통신사, ISP)의 입장과 망이용대가를 지불하지 않으려는 유튜브, 넷플릭스 등 글로벌 콘텐츠 제공업체(CP)의 입장, 그리고 정부를 비롯한 다른 이해관계자의 입장을 ... 망 이용 대가 논쟁1) 문제 제기SK브로드밴드를 비롯한 국내 인터넷 서비스 제공업체(통신사, ISP)는 넷플릭스, 구글 등 글로벌 대형 콘텐츠 제공사업자(CP)가 트래픽은 급증하는데
    방송통신대 | 8페이지 | 5,000원 | 등록일 2023.01.17
  • 대학수학의 필요성
    3차 시험 문제반: 대학수학 2 학번: 11111111 이름: 김XX1. ... 앞으로 배울 회로 설계, 반도체 및 디스플레이 공학, 통신 및 신호처리 등등 같은 구조로 학습할 것이라 예상하고 있습니다. ... 회로 이론(回路理論, 영어: network analysis)은 전자소자로 이루어진 회로망의 해석이론이다.
    리포트 | 2페이지 | 1,500원 | 등록일 2022.03.20
  • 체계이론에 대하여 알아보고 우리 주변에는 어떤 체계들이 있는지 서술하시오
    특히 현대사회에서는 정보통신기술의 발달로 인해 새로운 사회체계들이 등장하면서 기존의 사회체계와의 갈등 및 충돌 가능성이 커지고 있다. ... 학교에서는 교육과정이라는 하나의 사회체계 안에서 학생들은 수업을 듣고 시험을 보고 평가를 받는다. ... 이러한 관계망 안에서 사람들은 의미 있는 역할을 수행하면서 집단이라는 총체적인 체계를 형성한다는 것이다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.08.24
  • 이동통신, 네트워크 용어집
    즉, 일부 모듈의 변경이 있는 경우에 전체 모듈을 변경하는 대신 변경이 있는 해당 모듈만을 바꾸면 되도록 하였다.이러한 계층은 크게 통신망 기능을 제공하는 계층, 응용기능을 제공하는 ... 문서의 구조를 정의하는 언어)이다.OSI Reference Model (OSI 참조 모델)ISO(국제표준기구)에서 표준화된 네트워크 구조를 정의하기 위해서 제공한 기본 참조모델.통신망을 ... 동시 전송은 실시간 전송이기 때문에 동화(動畵)나 음성 등 동시성이 요구되는 멀티미디어 정보를 전달하는 인터페이스로 적합하고, 비동기 전송은 데이터를 분할하여 전송하는 방식이므로 PC와
    리포트 | 49페이지 | 1,000원 | 등록일 2023.02.05
  • 청소년기의 위험 행동의 중독, 자살, 학교폭력, 섭식장애 중 하나의 주제를 선택해 관련 자료
    특히 미디어와 인터넷, 플랫폼, SNS의 발달로 네트워크 통신망을 통해 특정 학생을 비방하거나 개인 정보를 유출, 괴롭히는 ‘사이버 폭력’이 등장하여 비대면 수업을 시행했던 코로나 ... 통신망을 이용한 음란 · 폭력 정보 등에 의하여 신체 · 정신 또는 재산상의 피해를 수반하는 행위’를 말한다.학교 폭력의 종류에는 신체적 폭력, 언어폭력, 따돌림, 금품갈취, 강요 ... 폭력에 대응하기 위해 각 종 교육기관 및 민간기관 협력을 통해 교육활동과 캠페인을 적극 추진할 예정이라고 밝혔다.2) 사이버 학교 폭력최근 큰 문제로 조명되고 있는‘사이버 폭력’이란 정보통신망을
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.29
  • 넷세대를 정의하고 그 특징과 인간관계에 미치는 영향에 대해 설명하세요.
    어렸을 때부터 컴퓨터가 있는 환경에서 자라나 컴퓨터통신이나 인터넷을 통해 정보를 얻거나 주고받고 통신망을 통해 교육을 받으며 성장한 세대를 말한다. ... 이전의 TV 세대가 일방적인 지식이나 정보를 전달받는 세대였다면 넷 세대는 쌍방향 통신으로 논쟁을 벌이는 등 적극적으로 자신의 의견을 개진하는 능동적인 특징을 지녔다. ... 이전의 TV 세대가 일방적인 지식이나 정보를 전달받는 세대였다면 넷세대(N세대)는 쌍방향 통신으로 논쟁을 벌이는 등 적극적으로 자신의 의견을 개진하는 능동적인 특징을 지녔다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.06
  • 정보통신기사 필기 정리
    .: 전화서비스 기능.( 제공 X )[HUB] : 구내 정보통신망(LAN)과 단말장치를 접속하는 장치[WDM] : 광통신용 신호와 관련된 다중화 기술[IP-PBX]: 사무실에서 인터넷 ... = [ DSRC ]모뎀의 궤환시험(Loop Back)과 관련없는 것은? ... 오답간편[토큰버스] : LAN에서 각 노드간을 옮겨가면서 데이터를 전송하는 방식[VAN] : 회선을 축적, 가중, 변환하여 광범위한 서비스를 제공: 단순한 전송기능 이상의 복합적인 정보서비스망이다
    시험자료 | 4페이지 | 2,500원 | 등록일 2021.04.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대