• 유니스터디 이벤트
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,162)
  • 리포트(4,704)
  • 자기소개서(240)
  • 시험자료(132)
  • 방송통신대(56)
  • 논문(21)
  • 이력서(4)
  • 서식(3)
  • ppt테마(1)
  • 노하우(1)

"카운터만들기" 검색결과 101-120 / 5,162건

  • 키오스크 운영은 비대면과 비용 절감의 효과를 가져오는 반면 부정적 기능 역시 가지고 있다. 이에 대한 본인의 생각을 서술하시오
    음료를 만드는 직원과 카운터에서 이를 응시하고 있는 직원이 있었다.답답해서 직접 주문을 받아도 되냐고 물었더니 그제서야 가능하다고 했다. "거기서 주문해도 될까요?" ... 키오스크 주문을 기다리며 잔뜩 주눅이 들었던 비슷한 나이의 노인 두 명이 겁에 질려 카운터로 향했다.내가 제주에 도착했을 때, 마지막 관문이 남아 있었다. 렌터카예요. ... 체크인 카운터에 탑승 수속을 통과하면 차가운 아이스 아메리카노가 떠오를 것이다. 두 번째 문이 여기서 기다리고 있다. 공항 내 대부분의 커피숍들은 키오스크로 주문을 받고 있다.
    리포트 | 2페이지 | 1,500원 | 등록일 2023.02.25
  • VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    이 신호를 이용하면 ’163을 직렬로 연결하여 8비트 이상의 카운터를 만들 수 있다. ... U와 D가 둘 다 'L'인 경우는 현재 상태를 유지한다.+ 동기식 카운터(Synchronous Counter)1) T 플립플롭을 이용한 동기식 카운터동기식 카운터는 모든 플립플롭의 ... [그림 8-1] 직렬 인에이블 논리를 가진 동기식 4비트 이진 카운터2) D 플릅플롭을 이용한 동기식 카운터가장 많이 쓰이는 MSI카운터는 적재 및 클리어 입력을 갖는 동기식 4비트
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • VHDL_4_counter, sequence detector, 4way traffic light counter, Soda vending machine
    이후 같은 과정을 반복하여 상승카운터를 만들 수 있는 것이다.Clk↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓↓Q*************0101Q10011001100110011Q20000111100001111Q300000000111111110123456789ABCDEF2 ... 그리고 J, K에 모두 High를 입력하고 falling_edge일 때 동작시키도록 하면 만들 수 있다.클럭을 넣으면 첫 번째 플립플롭은 그것에 맞춰서 1, 0의 상태가 바뀐다. ... 그래서 플립플롭의 수와 연결 방식에 따라 최대로 할 수 있는 카운터 값이 바뀐다.카운터는 Clock을 기준으로 비동기식과 동기식으로 구분할 수 있고, 그 외에 계수 증감, n진 카운터
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 조선대 전자회로실험 디지털시계 과제 레포트
    (재활용) - 점프선으로 쭉쭉 연결시키면 회로를 만들수 있다. ... 다른 7404와 달린 74HC04 는 발진할 수 가 있어서 디지털 시계 를 만드는데 74HC04을 써야합니다. 74HC04, 7410, 7414 ( IC15, IC13, IC16) ... -7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7세그먼트의 입력 단자 a, b, ... , g 신호를 만들어내는
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 중앙대학교 실험 예비 보고서 (카운터 설계)
    신호 주파수 : 500kHzQ2 신호 주파수 : 250kHz주파수가 1MHz인 구형파를 인가하면 CLK가 falling edge일 때 입력 펄스 2개마다 Q1 출력은 1개의 펄스를 만들고 ... 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... 또한 Q1, Q2, Q3출력 신호에 LED를 연결하여 카운터의 상태에 따라 LED에 불이 들어오도록 연결한다.1-3-3 10진 비동기 카운터 설계16진 비동기 카운터와 리셋 회로를
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.13
  • 아주대학교 논리회로실험 / 8번 실험 Counter 결과보고서
    이렇게 회로를 만드는 경우, 천이 시간이 존재하지 않기 때문에 클럭이 변하는 순간 A와 B가 동시에 변한다. 즉, 한 클럭에 따다닥 다 변하는 동기식 카운터가 된다. ... 또, 해당 Logic에 근거하여 하강 펄스 구간에 대해 CLK가 발생하므로 클록 펄스를 인가했을 때 해당 회로의 진리표는 위와 같이 구성된다.진리표의 결과를 를 만드는 경우, 천이 ... 본 실험에서도 확인했듯, 카운터는 크게 비동기식 카운터와 동기식 카운터로 나뉜다. 비동기식 카운터는 직렬 카운터라고 불린다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.07.20
  • 8장 순차논리회로 설계 및 구현(2) 결과
    다행히도 지난 번 실험에서 많은 어려움을 겪었고 많은 시간을 사용해서라도 몸에 익숙하게 만들어서 이번 주는 상대적으로 수월히 실험이 진행되었다. ... 같은 조원인 지훈이와 확실히 역할을 나누어서 헷갈리지 않게 빠르게 실험에 임할 수 있었고 처음에 상향카운터를 구현한 뒤 하향카운터를 구현하는 것은 약간만 변경하면 되어서 손쉽게 실험이 ... 우선 4비트 동기식 카운터는 4개의 비트를 이용해 0000부터 1111까지 차례로 올라가거나 내려가거나를 반복하는 동작을 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.01.06
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    이를 통해 주기가 1 초인 클럭이 만들어 진다.Vhdl를 이용한 코드출력 결과60진 카운터이론적 배경디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을 ... 실습용 보드인 DE2 보드에서 생성되는 CLK은 50Mhz 이므로 이것을 1초 주기로 만들기 위하여 카운터를 이용한다. 50Mhz 중 절반인 25M 번을 세어서 출력을 1 다시 25M번을세어 ... 1초마다 클럭을 만들어 내는 1초 생성기가 필요하다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 기초전자회로실험 - 비동기,동기 카운터 결과레포트
    고찰 : 1) 비동기 카운터에 대한 실험결과 설명 :CLK의 하양 엣지를 트리거 엣지로하는 비동기카운터를 만들고 Qa, Qb, Qc를 오실로스코프로 찍었을 때 나오는 파형은 Qa는 ... Qa, Qb, Qc는 CLK과 비교했을 때 주파수가 계속 절반으로 줄어듬을 알 수 있다.2) 동기 카운터에 대한 실험 결과 설명 :모든 출력파형 Qa, Qb, Qc들은 CLK에 동기화되어
    리포트 | 3페이지 | 1,500원 | 등록일 2021.02.27
  • JK플립플롭을 이용한 학번 카운터
    만드는 과정이 생각보다 많은 과정들이 필요하였다. 0→1 과 3→1을 구분하기 위해 J-K 플립플롭을 하나 더 사용하여 이에 따라 7세그먼트용 4개를 더해 총 5개의 J-K 플립플롭을 ... J-K 플립플롭을 통해 학번 카운터기를 설계한 후 이를 7447IC를 이용하여 7-세그먼트로 출력한다.J-K 플립플롭은 S-R 플립플롭에서 S=1, R=1인우 출력이 불안정한 상태가 ... J=1, K=1인 경우 이전 출력의 보수 상태로 변화한다.위 데이터 시트를 이용하여 7447 IC와 7-세그먼트를 설계한다.이를 이용하여 학번(201945831)을 출력하는 카운터
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.04
  • [ATmega128]마이크로프로세서 16비트타이머카운터 결과보고서
    16비트 PWM 펄스를 만들 수 있는 두 개의 타이머/카운터- 2개의 독립적인 출력 비교 모드, 글리치 없는 PC PWM모드- 잡음에 강인한 잡음 제거 유닛을 내장하고 있는 한 개의 ... 모두 1로 설정되면 동작이 된다.- 타이머/카운터 인터럽트 마스크 확장 레지스터는 타이머/카운터1의 출력 비교 C 일치 허가 인터럽트와 타이머/카운터3이 발생하는 여러 개의 인터럽트를 ... 즉, 타이머/카운터1과 3은 클럭 선택 논리부로부터 출력되는 클럭 신호 TCLK를 입력받아 동작하며, 이 클럭 신호는 16비트 업/다운 카운터 TCNTn에 입력되고 카운터의 값이 0xFFFF에서
    리포트 | 12페이지 | 1,500원 | 등록일 2020.01.01 | 수정일 2021.02.22
  • 중앙대학교 실험 결과 보고서(카운터 설계)
    먼저 만들려고 회로를 구성했는데 결과값이 나오질 않았다. ... 10진 비동기 카운터 설계(10진 카운터의 회로 모습)(A) 10진 카운터의 회로를 결선하고 회로 사진을 보여라.=> 다른 조의 실험을 통해 확인(B) 출력 Q1, Q2, Q3, Q4에 ... LED가 켜지지 않는 현상 때문에 10진 카운터 까지 하지 못하고 실험이 종료되었다. 4진 비동기 카운터에서 원인을 찾지 못했습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.01.13
  • [논리회로실험] Counter_ 예비보고서
    하향 카운터가 있음(실험에서는 하강 에지에서 변화하는 상향 카운터를 사용)3) 동기식 카운터- 모든 플립플롭이 하나의 공통 클럭에 연결되어 있어 플립플롭이 동시에 트리거 되는 카운터 ... 실험목적카운터의 동작원리와 특성을 이해2진 시스템에서의 숫자표시와 2진 카운터에 대해 이해카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 ... : 3진 Counter - 동기식 Counterpower supply를 연결하고 74HC76과 74HC08 칩을 이용하여 3진 Counter를 설계한다.오실로스코프에서 사각파를 만들어
    리포트 | 9페이지 | 1,000원 | 등록일 2021.06.03 | 수정일 2023.03.29
  • 서비스경영 서비스청사진 사례분석 발표자료 일본 100엔 스시
    계산 후 인사를 받으며 퇴장 들어오는 손님에게 인사 미소시루 , 녹차 , 젓가락 등을 빠르게 세팅 접시개수 * 100 으로 가격 계산 계산 후 나가는 손님에게 인사 스시를 만들어 접시에 ... 타원형의 접객대와 30 여개의 좌석 컨베이어 벨트 메뉴 카운터 스시집 도착 모든 종사자들의 환영인사를 받음 자리를 잡고 앉음 음식을 전달받음 재료 가격에 따른 접시당 개수의 차등 ... 것서비스 청사진 물적 증거 고객활동 지원종업원 현장종업원 지원프로세스 상호작용선물적 증거 고객활동 상호작용선 현장종업원 가시선 지원종업원 지원프로세스 내부상호작용선 스시집 입구 카운터
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.08
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    재생산 되는 과정을 통해 구현된다.레지스터(resgister)- 다수 플립플롭을 연결하여 여러 비트의 저장하는데 사용되는 기억장치- 용도에 따라 누산기, 명령 레지스터, 프로그램 카운터 ... 등으로 구분카운터(counter)- 상호 연결된 여러 개의 플립플롭 조합으로 클럭 펄스가 펄스 수를 세거나 제어 장치에서 여러가지 회로의 동작을 제어하는데 역할- 클록 및 동기 방식에 ... 감산기),멀티플렉서, 디멀티플렉서,디코터(해독기) 및 인코더(부호기)RAM, 레지스터, 카운터(계수기),기타 상태 보존 시스템[표3] 조합논리회로와 순서논리회로의 비교(4) 기본플립플롭회로플립플롭회로에서
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 마이크로프로세서1 고속 PWM, 위상정정 PWM OC핀 출력값 과제
    물리적인 작은 외부 장치를 가능하게 만드는 구조라 시스템 비용이 절감되는 효과도 있다.위상 정정 PWM(Phase Correct Pulse Width Modulation) 모드는 위상 ... 즉, 카운터가 0이 되면 BOTTOM, 카운터가 0xFF에 도달할 경우이다.고속 PWM 모드의 동작 주파수는 위상 정정 PWM의 2배가 될 수 있으며, 이러한 고주파는 고속 PWM ... 주파수 조정 PWM 모드는 이중 경사 동작으로 작동하며, 카운터 레지스터인 TCNtn는 BOTTOM 에서 다시 탑으로 증가하며, 다시 탑에서 BOTTOM 으로 감소하는 형식으로 작동한다.Ehgks
    리포트 | 4페이지 | 2,500원 | 등록일 2022.05.29
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    번째 카운트 할때는 ‘0’의 값이 출력되고, 두번 째 1911번 카운트 할 때에는 ‘1’의 값이 출력하는 방법을 사용하면 약 261Hz의 주파수로클럭이 생성할 수 있도록 회로가 만들어진다 ... 여기에서 0~9의 숫자가 반복되면 10진 카운터라고 부른다. 이 카운터는 시스템을 설계하는데 중요하다. ... 동기식 UP/DOWN 카운터를 설계한다.2. 카운터를 이용한 Sequential Circuit을 설계한다.기본지식1. 이론?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털논리회로 나눗셈기 설계 보고서
    임의의 숫자를 만드는 것이 아닌 데이터를 보내주는 쪽을 기반으로 하여금 이진법 11000101 (8-bit) 와 1010 (4-bit)의 나눔으로써 다음 그림과 같음을 알 수 있다.위와 ... 또한, 반복 카운터 값을 0으로 설정3. Z 레지스터를 왼쪽으로 1 비트 이동하고 시프트 연산은 Z 레지스터의 LSB를 비운다. ... 카운터가 4이면 알고리즘 종료 아닐 경우 3단계로 다시 이동한다.이처럼, 나눗셈기 알고리즘의 이론적 내용을 보았을 때, 다음과 같이 레지스터 구성을 할 수 있다,
    리포트 | 9페이지 | 2,500원 | 등록일 2023.05.18
  • AVR 전자 피아노 만들기(ATmega,회로도,소스코드,음악)
    [‘AVR 전자 피아노 만들기‘ 본문 내용 中 발췌] Ⅰ. ... 그리고 스위치의 입력 기술과 타이머 카운터로 출력핀에 해당 주파수를 출력하는 원리와 해석에 대해 알아 보도록 하겠다. ... 눌려진 건반 스위치에 해당하는 음을 MCU의 타이머 카운터 기능을 이용하여 스피커에 음을 출력한다. 그리하여 피아노처럼 해당음계의 스위치를 눌러 멜로디를 연주 할 수 있다.
    리포트 | 12페이지 | 5,000원 | 등록일 2021.10.26 | 수정일 2021.11.01
  • [atmega128]마이크로프로세서 8비트타이머카운터
    이와 같이 타이머/카운터의 동작 모드를 적절히 설정하고 TCNT2 레지스터와 OCR2 레지스터를 활용하면 OC2 단자에 PWM 펄스를 발생하거나 가변 주파수의 펄스를 만들어 출력할 ... ATmega128 8bit Timer/Counter 관련 이론-타이머/카운터 내부 구성도a.타이머/카운터0b.타이머/카운터2-클럭 선택 논리부클럭의 선택은 타이머/카운터 제어 레지스터 ... 이 모드에서는 타이머/카운터 레지스터 TCNT2가 항상 상향 카운터로만 동작하고 계수 동작 중에 카운터의 값은 클리어되지 않으며 클럭 입력에 의하여 항상 8비트 카운터의 계수 범위
    리포트 | 11페이지 | 1,500원 | 등록일 2020.01.03 | 수정일 2021.02.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대