• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(235)
  • 리포트(220)
  • 시험자료(7)
  • 논문(6)
  • 방송통신대(2)

"32bit mips" 검색결과 101-120 / 235건

  • 마이크로 프로세서의 역사
    마이크로프로세서(1987∼ )National Semiconductor의 NS32332와 NS32532, NEC의 V70, Motorola의 MC68030, Intel의 80486 32비트 ... 처리방식을 도입하여 명령의 인출 및 실행을 병렬 처리함으로써 종래의 순차처리방식에 비하여 월등하게 처리속도를 향상제4세대 마이크로프로세서(1981∼ )1981년 Intel에서 발표한 32비트 ... 되어있으나 8bit H/W 와의 호환성을 유지하면서 16bit 마이크로프로세서에 가까운 성능을 가짐 1982년 Intel의 80286 과 Motorola의 MC68010 VMMU(
    리포트 | 10페이지 | 1,000원 | 등록일 2008.11.20
  • 전공컴퓨터기초
    CPU의 내부 또는 외부와 데이터나 제어신호 등을 주고받을 수 있는 통로를 버스(bus)라고 하는데 동시에 옮겨 갈 수 있는 비트 수에 따라 8bit, 16bit, 32bit, 64bit ... (PSP에는 MIPS R4000의 CPU가 사용.)XScale or StrongArm인텔에서 만드는 CPU 로 PDA용으로 사용.퀄컴MSM5XXX 계열과 MSM6XXX 계열의 모뎀 ... 드래곤볼 시리즈를 만듬알파 CPUDEC , 삼성 , HP/컴팩 , IBM 등에서 만들며 선 유닉스 서버에서 사용Power PC모토롤라와 IBM 의 합작 인 CPU 로 매킨토시 에 사용MIPS플레이
    리포트 | 9페이지 | 1,000원 | 등록일 2010.11.15
  • AVR ATmega128의 특징 요약정리
    .- 대부분 단일 클록에서 실행되는 133개의 명령어- 8비트로 구성된 32개의 범용 작업 레지스터- 16MHz에서 16MIPS(Million Instruction Per Second ... 따라서, 모든 명령이 16비트 또는 32비트 구조로 되어 있으저장한 다.(e) EECR 레지스터에 EEMWE는 “1”로, EEWE는 “0”으로 설정한 다. ... , Z 레지스터32개의 범용 레지스터중에서 R26~R31은 각각 2개씩 합해져서 3 개의 16비트 레지스터인 X레지스터, Y레지스터, Z레지스터로 사용 될 수 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.05.10
  • 마이크로 컨트롤러 결과 보고서
    Port D (PD7~PD0) (25~32)- 포트D는 내부 풀업 저항을 갖는8비트의 양방향 입출력 포트이다.? ... 경우 16MIPS까지 실행 된다.- 2사이클에 곱셈이 되는 곱셈기를 내장하고 있다.? ... 진보된 RISC 구조- 133 가지의 강력한 명령어 : 대부분의 명령이 한 클럭에 동작된다.- 32개의 8비트 범용 작업 레지스터+주변장치 제어 레지스터- 16MHz의 클럭을 사용할
    리포트 | 7페이지 | 1,000원 | 등록일 2010.10.20
  • verilog로 짠 mips 다중사이클 데이터패스 명령어추가
    ◎memory.v ( Array로 memory를 구성 )->메모리를 301개의 32비트 공간으로 할당하였고 0~255까지는 코드 영역, 256~300까지는 데이터 영역으로 사용하여 ... MIPS Assembly Language -> Machine LanguageInstructionMachine Languagesum : subi $sp, $sp, 8I type001001 ... PC값이 20에서 32로 branch된 것을 보면 정확히 수행되고 있다는 것을 알 수 0,000 ps) 까지의 시간이다.노란색 박스에서 또한번의 argument 5를 1 빼주는 subi
    리포트 | 12페이지 | 3,000원 | 등록일 2011.10.13
  • 마이크로프로세서의 종류와 특성에 대하여 조사
    MIPS는 높은 성능을 보여주는 RISC프로세서로 64비트 어드레스 버스와 64비트 데이터 버스를 가지고 있고 32비트도 지원해 주고 있다.? ... RISC 프로세서로 64비트로 개발되었고 32비트도 지원하고 있다.? ... 인텔의 8086같은 16bit CISC 마이크로프로세서가 있었지만, Arcorn의 컴퓨터 설계자 마음에 들지 않았다.
    리포트 | 5페이지 | 1,000원 | 등록일 2008.04.06
  • [제휴] 공동연구개발 유형, 동기 및 실태
    94년까지 7억달러를 공동 투자해 플래시 메모리칩 생산공장을 짓기사는 차세대 MPU의 설게단계부터 협력, 현재 세계 MPU시장을 석권하고 있는 인텔의 펜티엄 칩보다 속도가 2-3배 빠른 32비트 ... 및 64비트 제품을 개발.생산해낼 방침이다. ... 美ㆍ日ㆍ獨 6개업체 컴퓨터칩 공동개발.미국의 MIPS테크놀러지, LSI로직社 등 3개업체, 일본의 도시바, NEC, 독일의 지멘스社 등 6개업체는 차세대 칩 RISC(명렬어축약형)을
    리포트 | 14페이지 | 1,500원 | 등록일 2012.10.05
  • 운영체제의 종류와 발전과정
    윈도 95 의 후속 윈도 3.1 과 윈도 95 가 제대로 갖추지 못하던 32 비트 운영체제를 완벽하게 갖추었다 , 사용자인터페이스를 대폭적으로 개선 . ... Window NT 둘 이상의 CPU 를 사용할 수 있고 시스템 안정과 보안이 장점인 32 비트 운영체제 도스 없이도 실행할 수 있으며 다중 작업이 가능 향상된 시스템 메모리 액세스 ... 인텔 X86 및 호환 제품 , MIPS, ARM, 히타치 Super H 프로세서를 지원한다 .
    리포트 | 17페이지 | 3,000원 | 등록일 2010.08.09
  • 3D Graphics Game 을 이용한 OS 및 Hardware Performance test_Draft
    스핌은 R2000/R3000 를 위한 거의 모든 MIPS 어셈블러-확장 명령어를 구현한다. MIPS구조는 32에서 64비트를 사용할때까지 상당히 발달해 왔다. ... .- http://www.quake.com> Simulator>> SPIM- MIPS R2000/R3000 의 어셈블리 언어로된 프로그램을 돌려보기 위한 독립적인 시뮬레이터이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.16
  • Project 개발 계획서 결과 보고서 - ATmega128칩을 사용한 디지털 온도계
    온도계 이외의 기능으로 습도계와 알람시계를 추가해보려고도 하였으나 이것 저것 작업하면서 한가서 16MIPS 이상의 성능을 가진다- 2 cycle 곱셈기를 내장하고 있다○ 비휘발성 프로그램과 ... 제공한다- 두 개의 8bit PWM Channel을 제공하며, 6개의 PWM Channel과 두 개의 16bits 사이의 프로그램 가능한 해상도를 지원한다- 비교기 출력- 8bit ... -2147483648 ~ 2147483647Unsigned long int320 ~ 4294967295Signed long int32-2147483648 ~ 2147483647Float32
    리포트 | 18페이지 | 3,000원 | 등록일 2010.01.28
  • AVR에 대하여
    마이크로콘트롤러 ◎ 향상된 RISC 구조 - 133개의 명령어, 대부분 단일 클럭으로 실행 - 32개의 범용레지스터와 주변 제어 레지스터 - 16 MHz 에서 16 MIPS 의 성능 ... Port C (PC7~PC0) (35~42) - 외부메모리를 엑세스할 경우 A8~A15의 어드레스 출력 포트로 사용ATmega128의 핀 구조◎ Port D (PD7~PD0) (25~32 ... Timer/Counter, 독립된 프리스케일러와 비교 모드 - 2개의 16비트 Timer/Counter, 독립된 프리스케일러, 비교모드와 캡쳐 모드 - 2개의 8비트 PWM 채널
    리포트 | 12페이지 | 1,000원 | 등록일 2008.11.16
  • OrCAD ,Pspice 사용 및 실습, Atmega 128 에 대하여
    클럭으로 실행된다.- 32*8 범용 동작 레지스터 + 주변 컨트롤 레지스터로 구성- 완전 정적 동작- 16MHz 환경에서 16MIPS 이상의 성능- 2 사이클 곱셈기를 칩에 내장3 ... .(6) RAM page Z 선택 레지스터 – RAMPZ1) Bits 7~2 – 예약비트- 예약되어 있는 비트들로 상 0으로 읽혀진다. ... 프로그램 메모리는 In-System 프로그램가능한 플래시 메모리다.빠른 참조 레지스터 파일은 32 X 8bit 의 범용 레지스터를 단일 클럭에 접근한다.
    리포트 | 26페이지 | 1,500원 | 등록일 2008.11.25
  • CISC 와 RISC 발표자료
    ) - 80386(32비트) - 80486 - 펜티엄(외부자료64비트처리) - 펜티엄MMX - 펜티엄2 - 펜티엄3 - 펜티엄4 - 펜티엄4_64 0 - 펜티엄4D 마이크로프로세서는 ... : 명령어의 길이가 1~8바이트까지 가변적으로 구성 프로세서가 명령어를 순차적으로 처리하기에 유용한 방법 CPU의 동작 속도가 높아짐에 따라 성능이 비례로 증가 CISC 방식은 32비트 ... 한국 게임파크사의 GP32 하드웨어.
    리포트 | 17페이지 | 1,000원 | 등록일 2009.12.16
  • 라인트레이서
    - 32개의 8비트 범용 레지스터 - 20MHz에서 20MIPS의 명령처리 속도.데이터와 비휘발성 프로그램 메모리와 데이터 메모리 구조를 사용. ... 주변장치의 특징 - 8비틔 타이머/카운터 1개, 16비트 타이머/카운터 1개. - 2개의 8비트 PWM 출력 , 2개의 8~10비트 PWM 출력. ... ATtiny2313 AVR RISC(Reduced Instruction Set Computer)구조를 사용.고성능, 저전력 RISC구조의 8비트 마이크로콘트롤러.
    리포트 | 14페이지 | 1,000원 | 등록일 2008.01.24
  • 지정 및 기초공사
    (Mixed in Place)공법의 순서교빈비트설치 굴착소일시멘트MIP항 형성철근케이지삽입지정 및 기초공사..PAGE:31깊은 기초 지정①잠향기초지정(오픈 케이슨, 뉴매틱 케이슨 공법 ... ): 상부에서 구조체를 만들어 굴착하면서 구조 체의 자중으로 침하시켜 소정의 지지층에 도 달시켜 기초를 형성시키는 공법지정 및 기초공사..PAGE:32깊은 기초 지정②우물통지정: 웰의 ... 및 기초공사..PAGE:29말뚝 지정PIP(Packed In Place) 공법의 순서어스오거굴착중공삽입철근케이지삽입PIP항 형성모르타르삽입지정 및 기초공사..PAGE:30말뚝 지정MIP
    리포트 | 50페이지 | 2,500원 | 등록일 2011.02.23
  • [공학기술]PC(PERSONAL COMPUTER)의 발전과정
    * 68010(32비트)* 1985 : 인텔 386DX(32비트, 16MHz)* 1986 : MIPS테크놀러지, R2000(32비트, 8MHz), 컴팩, IBM의 80386을 이용한 ... 여기서 하드웨어와 소프트웨어의 격차가 생겨난것이다.* 1989 : 텔, 코프로세서를 내장한 32비트 CPU Intel486* 1990 : 토롤라, 68040(32비트, 25MHz)* ... 1991 : MD, 386DX 호환 Am86DX(40MHz), MIPS 테크놀러지, R2000(64비트)인텔 발열이 원인이 되어 486DX(50MHz) 리콜* 1992 : AMD,
    리포트 | 7페이지 | 1,000원 | 등록일 2007.05.28
  • 계단을올라가는6족개미로봇
    - 32×8 범용 워킹 레지스터- 16㎒에서 최대 16MIPS의 처리속도를 갖는다.- 완전한 정적 동작- 2 사이클 곱셈연산③ 데이터 메모리와 비휘발성 프로그램 메모리 구조- 프로그램 ... 모듈의 특징① 4비트, 8비트 마이크로프로세서와 인터페이스 가능② 5×8도트, 5×10도트의 디스플레이 가능③ 80×8비트의 디스플레이 RAM④ 240글자 폰트를 위한 9,920비트 ... .- 1㎒에 1MIPS의 처리 능력이 있어 10㎒로 동작하는 경우 10MIPS의 처리 능력을 발휘한다.④ C언어 처리 강력 지원- CPU 설계 단계에서 레지스터 / 메모리 / 명령어가
    리포트 | 36페이지 | 3,000원 | 등록일 2008.09.28
  • [공학기술]Verilog simulator를 이용한 MIPS single-cycle processor 설계
    명령어의 하위 16비트(offset)를 부호 확장한 후 2비트 만큼 왼쪽 이동한 값에다 PC+4를 더합니다. 결과값이 분기목적지 주소입니다.4. ... 기본 mips_single 프로그램 Build 후 Diagram 창 >* mips_single은 기본적으로 2개의 입력포트(clk, reset) 를 가지고 있습니다.내부 시그널을 ... ALU는 레지스터 파일로부터 읽어들인 값과 명령어의 하위 16비트(offset)를 부호 확장한값과의 합을 구합니다.4.
    리포트 | 18페이지 | 1,000원 | 등록일 2007.06.06
  • [컴퓨터구조 및 VHDL][Quartus 2,max,verilog]verilog_hdl을 이용한 Single_Cylce_Mips설계 및 분석..
    Verilog_HDL을 이용한 코딩중 Single_Cycle_MIPs에 관한 내용으로써ALU와 MUX등을 통합한 소스 코딩입니다.본문내용중..모든 모듈들을 통합하는 TOP module
    리포트 | 49페이지 | 2,500원 | 등록일 2005.10.05
  • 임베디드리눅스,윈도우CE,TinyOS의 개요와동작원리,특징,각프로그램의장,단점
    그리고 32bit 기반의 멀티미디어 기능을 들 수 있다. ... .- ARM : ARM920T, ARM1020T, PXA25x, PXA27x(XScale), Samsung ARM- MIPS : MIPS II/32,MIPS II/32,MIPS16, ... MIPS IV/64,MIPS IV/64.- SHx : SH-3, SH-4 (Windows CE 5.0은 SH-4만 지원한다.)- X86 : 486, 586, Geode, VIA, Pentium
    리포트 | 12페이지 | 2,000원 | 등록일 2007.12.28
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:17 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대