• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(780)
  • 리포트(753)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 101-120 / 780건

  • 베릴로그 계산기 구현
    // 4bit adder에서 쓰인 wire와 똑같은 역할add4 u7(a[3:0], b[3:0], c_in, sum[3:0], c4); /16bit4bit로 4등분하여 4bit ... 계산이기에 1bit full_adder을 이용해서 계산하기 위해 2^0, 2^1, 2^2, 2^3 자리끼리의 연 산에서 나오는 c_out을 상위 비트의 연산의 c_in으로 넣어주기 ... add4(w,z,c_in, sum, c_out); // 4bit full_adder 모듈 선언input [3:0] w,z; // 4bit unsigned input 2개 선언input
    리포트 | 20페이지 | 2,500원 | 등록일 2022.06.05
  • 충북대 디지털시스템설계 결과보고서2
    그리고 지난주에 설계한 four_bit_full_adder 코드를 불러와 연산들을 실행한다. ... 이번 실험을 통해 verilog 코드로 multiplier의 기능을 구현하는 법을 배웠는데 지난번 adder보다 동작원리가 좀 더 복잡했던 것 같다. ... 출력값을 나타낸다.4-bit Multiplier 코드input은 곱할 4-bit 수인 m과 q이고 output은 두 4-bit의 곱이므로 8-bit p로 설정한다. wire는 c1
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 고려대학교 디지털시스템실험 A+ 4주차 결과보고서
    본 실험을 통하여 half adder, full adder을 기반으로 add-subtractor와 multiplier를 구현하는 방법에 대하여 배울 수 있었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 울산대학교 디지털시스템 기말고사
    D flip flop을 사용해서 설계하시오.3. 8 bit shift right register를 D flop flop을 이용하여 설계하시오.4. half adder와 full adder를 ... 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C= ... 모듈로 15 upcounter 및 downcounter를 T flip flop을 사용해서 설계하시오.UP의 0,1로 UP카운터와DOWN카운터로 컨트롤2. 8 bit Johnson counter를
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    4-bit Full Adder그림1은 4bit Full adder의 코드이다. 우선 library 파일을 작성해주었다. ... Half adder까지 subcircuit을 작성하고 바로 full adder를 구현해도 되지만 입력 bit가 늘어나는 경우(ex) 4bit full adder)도 있을 수 있기 때문에 ... 1bit full adder도 나중에 쓰기 편하게 subcircuit으로 구현해 두었다.그림 SEQ 그림 \* ARABIC 3 : 4bit Full adder simulation그림
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    확인하였다.(2)위에서 생성한 전가산기 셀을 이용하여 4-bit 가감산기를 설계하시오.4-BIT Adder Subtractor 심볼4-BIT Adder Subtractor 심볼위 ... 8~+7을 벗어나면 계산 결과값을 4-BIT로 표현할 수 없고 이 경우를 정수 오버플로우라고 한다. 3번째 비트에서의 CARRY와 4번째 비트에서의 CARRY를 XOR로 묶으면 오버플로우가 ... 과정(1)에서 생성한 전가산기 4개와 2x1 MUX 4개를 이용하여4bit인 이진수로 표현되는 정수 A, B (A: A4 A3 A2 A1 B: B4 B3 B2 B1) 두 비트를 더하거나
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    .(3) Four-bit 가산기1) Behavioral level modeling: if 문 사용4bit_Full_adder4bit_Full_adder test bench4bit_full_adder ... )4bit_Full_adder4bit_Full_adder test bench4bit_full_adder simulation2) combo box를 통한 동작 결과입력a=0111 b= ... 실험결과 원하는 값을 얻을 수 있었다. assign문을 한 개 사용한 이 실험의 결과와 앞선 if를 사용한 실험의 결과와 동일한 결과값을 얻었다.4bit_full_adder(4) Four-bit
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    test benchHalf_adder simulationHalf_adder pin(2) One bit 전가산기1) 1비트 반가산기의 module instantiationmodule ... pin(3) Four-bit 가산기1) Behavioral level modeling: if 문 사용4bit_Full_adder4bit_Full_adder test bench4bit_full_adder ... simulation4bit_full_adder pin2) Behavioral level modeling: assign 문 한 개만 사용 (always, if 등 사용 안함)4bit_Full_adder4bit_Full_adder
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 디시설, 디지털시스템설계 실습과제 3주차 인하대
    Module code1bit full adder4bit full adder의 모듈코드이다.4bit full adder에서는 1bit full adder의 모듈을 이용해 계층적으로 ... full adder의 block diagram이고 아래는 4bit full adder에 사용된 각 1bit full adder의 block diagram이다.Waveform실습에서 ... 값으로 입력 값을 넣어주었다.Waveform 확인을 좀더 쉽게 하기위해 가장처음과 마지막의 입력 값을 x=0, y=0, c_in=0으로 초기화 시켰다.Block Diagram위는 4bit
    리포트 | 4페이지 | 1,500원 | 등록일 2021.08.31
  • Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    CLA의 확장 방정식은 인터넷을 참고해 코딩하였다.이 확장 방정식에 의해 C0~C3의 값이 결정된다. 4bit adder로서 sum이 [3:0] carry_out 1bit4bit를 ... 아래 비트부터 carry를 전달하는 Ripple-carry adder 대신에, 한번에 각 비트에서 carry의 발생여부를 판단해 덧셈 시간을 획기적으로 단축하는 방법이다. ... HW 1설계 코드와 주석테스트벤치 코드테스트벤치에서 초기값을 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다.시뮬레이션 결과고찰CLA란
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 아날로그및디지털회로설계실습 예비보고서9 4비트가산기
    아날로그 및 디지털 회로설계 실습예비보고서(설계실습 9. 4-bit Adder 회로 설계)9-1. ... (E) 설계한 회로 중 하나를 선택하여 2Bit 가산기 회로를 설계한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.17 | 수정일 2020.11.27
  • 디코더, mux, Comparator, 4비트 감가산기
    제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... (출처 - [네이버 지식백과] 비교 회로 [comparator, 比較回路] (전자용어사전, 1995. 3. 1., 성안당))4)4bit-adder-subtractor(출처 -http ... bit-binary-a)
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 성균관대학교 디지털집적회로설계 cad과제 4
    Cin=1로 설정하면 첫 번째 4bit CSA cell의 첫 carry cell의 delay가 최대가 될 것이다. ... Carry와 mux cell의 delay가 adder의 delay에 가장 dominant하다. ... 계산한 worst case 보다 약간 작은 값이 나왔다. 16 bit가 각각 독립적으로 계산되지 않고 서로 종속적으로 계산되기 때문에 완벽하게 모든 cell을 worst로 만들 수
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.31
  • 시립대 전전설2 Velilog 예비리포트 3주차
    Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling)4bit Full Adder –4 1bit Full ... - code시뮬레이션 결과4) 4bit Full Adder –4 1bit Full Adder (Behavioral Modeling)code시뮬레이션 결과참고 문헌전전설 교안 ... Full Adder –Gate Primitive Modeling1bit Full Adder –Behavioral Modeling4bit Full Adder – 2 1bit Full
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    설계 결과1) 소스코드BCD adder1bit adder- 구조적 모델링을 사용하여 bcd 가산기를 설계하였다. ... 먼저 한자리 수 가산기를 작성하였는데, 그에 해당되는 bcd는 4bit 2진수이다. ... 각 비트마다 8,4,2,1의 숫자가 대응 되서 한자리 수를 표현하는데, 다른 방법으로는 4,2,2,1 또는 7,4,2,1 등이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • pipeline 8bit CLA 설계 프로젝트 A+ 자료
    C의 값은 0~6까지 총 7bit만 필요하다.4. ... 구현⓵ D_FF_1bit . vhd1비트를 저장시켜주는 1bit D-FlipFlop이다.⓶ D_FF_2bit . vhd2비트를 저장시켜주는 1bit D-FlipFlop이다. ... 목적-PIPELINE을 이용하여 주어진 조건을 만족하는 PIPELINED 8bit Carry Lookahead Adder를 구현한다.3.
    리포트 | 9페이지 | 2,500원 | 등록일 2020.09.09 | 수정일 2020.12.10
  • 전자전기컴퓨터설계실험2(전전설2) (2) HBE COMBO II SE VerilogHDL Lab
    Half Adder (21)3.3. Full Adder (22)3.4. 4-bit Ripple Carry Adder (25)Ⅲ. 결론 (27)Ⅳ. 참고문헌 (27)Ⅰ. 서론1. ... Adder (17)2.2.1. Half Adder (18)2.2.2. Full Adder (18)2.2.3. 4-bit Ripple Carry Adder (19)3. ... 이로써 Xilinx에서 프로그래밍한 전가산기가 실제 회로상에 구현한 전가산기와 동일하게 세 입력의 합과 자리 올림수를 구해낼 수 있음을 알 수 있다.3.3. 4-bit Ripple
    리포트 | 28페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 서강대학교 디지털논리회로실험 레포트 5주차
    따라서 이는 2-bit full-adder로 볼 수 있을 것이다.DIP_SW4가 1일 때는 B가 역시 DIP_SW4와 XOR gate에서 연산을 하며 들어가는데, DIP_SW4가 1이므로 ... 이전 장에서 배운 exclusive-OR의 동작은 1-bit comparator로 해석할 수 있다.그림 1은 4-bit 이진수를 비교할 수 있는 comparator이다. ... 그림에서 각 소자들은 비교하는 두 수와 함께 직렬 연결을 위한 신호들의 입력과 출력을 포함한다.그림 SEQ 그림 \* ARABIC 1. 74x85 4-bit comparator그림
    리포트 | 25페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 성균관대학교 디지털집적회로설계 CAD 네번째 과제
    이는 bit1 ~ bit15까지 P(Propagate) 조건으로 만들어 주는 것이다. ... 동안의 delay를 측정하기 때문에 simulation으로 전체 회로에서 전달하는 동안 발생할 수 있는 delay에 대해 고려가 된 값이기 때문에 더 큰 값이 나왔다고 생각한다.4. ... 이때, A0를 0에서 1로 바꿔주면 다음 비트로 넘어갈 때마다 무조건 carry out이 발생하게 되므로 delay가 가장 긴 worst case라고 판단하였다.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.11.29 | 수정일 2021.07.27
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... 첫번째 rise인 300ns근처에서 이 측정되었다.그림 4는 NAND gate의 power를 측정하는 코드이다. ... 그림17의 코드는 다른 기본 gate subcircuit은 캡처하지 않고 half adder부터 캡처했다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대