• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(328)
  • 리포트(320)
  • 시험자료(3)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"7세그먼트 디코더" 검색결과 101-120 / 328건

  • 광운대학교 전기공학과 1학년 실험8
    코드가 주어지면 이것으로 7세그먼트 디스플레이의 LED들 중 적절한 것을 켜주어 해당 숫자가 표시되로록 하여 주는 IC를 BCD TO 7세그먼트 디코더라고 부른다.7447에서 데이터 ... ◆실험 기기◆테스터, 직류전원장치(dual), 오실로스코프, 만능기판, 만능기판용 전선, 스트리퍼IC바이너리 인코더 74148 1개BCE 인코더 74147 1개7세그먼트 디코더 7447 ... 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 7세그먼트 레포트
    7-세그먼트 LED 디코더와 표시회로실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다.실험이론1) 디코더 원리7-세그먼트 표시기라고 하는 소자는 ... 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2) 7세그먼트 LED 디코더그림에서 입력단에 BCD ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.3) 7-세그먼트의 표시상태애노드 공통형 BCD-7
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.26
  • [예비레포트] 숫자표시기와 응용
    개요숫자표시기(7-세그먼트 표시기)의 구성원리를 이해하고 구동하는 방법을 실습하도록 한다. 숫자표시기는 보통 이를 구동하기 위한 전용 디코더와 함께 사용되는 경우가 많다. ... 세그먼트 디코더/구동기(7-segment decoder/driver)BCD 코드가 주어지면 이것으로 숫자표시기의 LED들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하는 IC를 ... 실험 이론-7-세그먼트 표시기(7-segment display)숫자표시기는 일곱 개의 방광다이오드(LED, light-emitting diode)로 이루어진 표시창으로 일곱 개의 LED
    리포트 | 6페이지 | 1,000원 | 등록일 2019.04.18
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    0001 1001● 7세그먼트 표시 장치(Seven-segment display)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 ... 디코더의 ABCD에 Binary형태로 0~9 까지 입력하면, 디코더는 자동으로 0~9를 디스플레이 해줍니다. 연결방법은 사진과 같습니다.5. ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다. 7세그먼트는 FND(Flexible Numeric Display) 라고 표현하기도 한다. 7세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 결과보고서
    고찰Seven-segment display의 원리에 대해 배우고 BCD코드를 기반으로 실제 논리게이트에서는 디코더(7447)을 통해 BCD코드를 세그먼트 신호코드로 바꿔주고 세그먼트 ... 현 실험에서는 0~9까지의 한자리를 나타내었지만 다른 7-세그먼트로 출력을 잡고 같은 코드를 추가하면 십의 자리, 백의 자리 등 더 큰 수 도 표현이 가능하다. ... 통해 출력할 때 a, b, c, d, e, f, g에 입력 값을 달리해 원하는 세그먼트의 각 불을 끄고 킬 수 있어 효율적이고, 빠르게 확인할 수 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    하지만 BCD Decoder를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.위 그림은 BCD 디코더7세그먼트에 연결한 것과, BCD 디코더의 진리표 이다 ... 디코더의 ABCD를 제어하면 7세그먼트의 숫자를 자동으로 만들어 준다.디코더의 ABCD에 Binary형태로 0~9 까지 입력하면, 디코더는 자동으로 0~9를 디스플레데이터(BCD Code ... 위 사진은 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.7세그먼트는 두 가지 종류가 있다.
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • verilog 3주차 ENC DEC보고서
    sement : 7세그먼트 디스플레이는 7개의 선분으로 구성되어 있어 각 획을 켜고 끔으로써 아라비아 숫자를 표시한다.소수점이 그려져 있는 경우도 있다.표시장치로는 LED, LCD, ... 실습 이론① Binary Decoder위 사진은 2*4 decoder를 나타낸다.디코더는 encoding 된 정보를 code 화 되기 전 상태로 되돌려주는 장치를 말한다.디코더는 n ... 기계적 표시(주유소 생각)등 여러 방법이 있다.따라서 우리는 입력을 4bit 로 받고,출력을 7bit로 뽑아내야 한다.진리표는 다음과 같다.
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 스톱워치 구현 보고서
    하지만 무시할 수 있을 정도의 짧은 시간이므로 6진 카운터로 동작한다.4) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 ... 실험내용1) 실험부품구분부품 번호수량비고FND5101CSR (캐소드 타입)1적색ICSN74LS0012-NANDICSN74LS0812-ANDICSN74LS482BCD-7SEG 디코더ICSN74LS764JK ... 그림 (a)와 같이 8개의 세그먼트는 위쪽부터 시계 방향으로 a부터 h까지 이름을 붙인다.
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 시립대 전전설2 [8주차 예비] 레포트
    .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2. ... Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 디지털논리회로 MULTISIM 레포트 7-segment
    *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 디코더는 4비트로 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • Xilinx-ISE 응용 레포트 (7-segment)
    *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만,실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고 ... BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... , g 신호를 만들어내는 조합회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • [예비레포트] 숫자표시기 아두이노
    그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 ... 여덟개의 LED를 내장하고 있다.숫자표시기는 그림2와같이 모든 다이오드의 아노드가 공통으로 묶여있는 공통캐소드형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7세그먼트 ... 세그먼트 표시기 – 숫자표시기는 그림1과 같이 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자가 나타난다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • 디코더, 발표자료 만들기싫으시면 이거 쓰세요(대학교 PPT발표자료)
    디코더 에서 start 시점과 stop 시점 이라고 생각하면 편한데요 인에이블 로 값(0)을 아예 출력 시키지 않게 하여 동작 하지않게 할수있고, 인에이블 1 이 되서 값이 전해지는
    리포트 | 9페이지 | 1,000원 | 등록일 2017.08.12
  • [mahobife]디지털회로실험 비교기, 인코더, 디코더 예비 보고서입니다.
    종류1) BCD - 10진 디코더2) BCD - 7 세그먼트 디코더(Cathode 형태)3) BCD - 7 세그먼트 디코더(Anode 형태)Ⅲ. 준비물 및 실험방법1. ... 디코더의 의미와 동작 이해6. 인코더와 디코더의 응용 능력 배양Ⅱ. 이론1. 크기 비교기가. ... 인코더와 디코더2.
    리포트 | 11페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 디지털실험 - 설계 1 예비 보고서
    목적1) 디코더에 대해 알아보자.2) 논리회로소자에 대해 알아보자.3) 7-Segment를 디코더를 이용해서 사용해보자.2. ... D1만이 1이고 나머지 출력선 D0, D2, D3은 모두 0이 되며, 나머지 입력 값의 조합에 대해서도 한 출력선이 나머지 출력 선들과 다른 값을 가짐을 확인할 수 있다.2) BCD-7세그먼트7세그먼트는 ... LED표시장치에서 각각의 7세그먼트는 전류가 흐를 때 어둠 속에서 볼 수 있는 유색 광의 발광 다이오드를 사용한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 세심한 주의력이 요구 되는 것 같다.이론적 배경을 살펴보면, 세그먼트 디코더는 BCD에서 십진 숫자를 받아들여서 이를 나타내는 선분을 선택적으로 출력생성 하는 조합회로 이고, 7 세그먼트 ... 결과☞ 비고 및 고찰이번 실험은 BCD-7 세그먼트 디코더가 실제로 어떻게 표현되는지 TTL 칩들을 이용하여 회로를 직접 짜서 확인하는 시간이었다.실험 전에 각 진리표를 만들고 이를
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    BCD-7 세그먼트 디코더는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 표 4-1과 같고 이는 7-세그먼트의 입력이 ... 세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 회로를 설계하는 입장에서는 디코더라 칭할 수가 있지만, 실제로는 4비트 십진수를 7비트코드로 변환하는 코드 변환기 이다.Y1 ... 회로로서2 ^{n}개 또는 이보다 적은 개수의 입력신호로부터 n개의 출력신호를 만든다.3) 7-세그먼트 표시키 (Seven Segment Indicator)디지털 회로는 LED또는
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털논리회로 엘레베이터 제어기 설계
    (6) MAN72 7-세그먼트 디스플레이(7) 74138 Decoder3. ... 사용 부품:(1) 74148 priority encoder(2) 7474 D플립플롭 2개(3) 7485 비교기(4) 7493A 2진 카운터/74139(5) 7447A BCD/10진 디코더 ... 실험 목표:7층 건물의 엘리베이터를 위한 제어기를 구현한다. 2.
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    segment 디코더 7447을 이용한 숫자표시기 설계① 7 세그먼트 디코더(Anode 형태)10진 표시 형태 결과 모습② 7447 BCD-7 segment 디코더인코더에서 NOT을 ... 디코더의 의미와 동작 이해6. 인코더와 디코더의 응용 능력 배양Ⅱ. 데이터1. ... `+``AB``=``bar{A oplusB}``=``bar{A barB``oplus barA B크기 비교기 실험 결과2. 10진-BCD priority 인코더 74147과 BCD-7
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    컨트롤러를 구현한다. oS_COM(SEG_COM)은 각 세그먼트 모듈(숫자 하나)을 켜거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 ... 라인 디코더를 이용하여 4bit 입력과 7bit 출력 2개를 갖는 디코더를 설계한다. 7bit 출력 한 개는 일의 자리를 표현하고 나머지 7bit 출력은 10의 자리를 표현한다. ... segment{A2,B2,C2,D2,E2,F2,G2}= 10의자리 7-segmentSEG_COM, SEG_DATA = PIN assigment 표 참조 다음의 그림과 같이 7 세그먼트
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대