• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,845)
  • 리포트(5,658)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 101-120 / 5,845건

  • [ASIC] ASIC_7-segment decoder
    < if문을 사용한 코드>library ieee;use ieee.std_logic_1164.all;// entity 선언entity DEC7 is port (BCD : in std_logic_vector ... (3 downto 0); // 벡터를 사용(4bit 입력)y : out std_logic_vector(6 downto 0)); // 벡터를 사용(7bit 출력)end DEC7;// ... : out std_logic_vector(6 downto 0)); // 벡터를 사용(7bit 출력)end DEC7;// architecture bodyarchitecture a of
    리포트 | 3페이지 | 1,000원 | 등록일 2004.08.18
  • 실험 / Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    -Block diagram카운터의 output Q2, Q1, Q1를 7-segment input X,Y,Z에 각각 매핑 시키고, 7-segment input의 MSB인 W에는 항상 ... Display the counter value on the 7 segment. ... -segment testbench와 같다..2) simulation result결과 값은 truth table과 일치한다.
    리포트 | 18페이지 | 4,000원 | 등록일 2009.12.23
  • xilinx와 vhdl을 이용한 7-segment 디지털시계구현(소스포함)
    -segment 소자2) 구현하는 SYS-Lap 5000에서는 6개의 7-segment 모듈을 하나로 구성한 소자를 사용한다. ... 기본적인 것은 그림 1과 같으나 7-segment의 개별 led를 구동하는 핀과 각각의 숫자를 구동하는 핀으로 구성되어있다. ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.그림 2 / ※ SYS-Lap 5000의 7
    리포트 | 14페이지 | 2,000원 | 등록일 2007.12.28
  • 7-segment를 이용한 디지털시계(verilog HDL)
    최종적인 결과는 나오지 않았지만 단계를 거칠수록 그 주기가 커짐을 볼 수 있었다.다음으로 시간을 7-segment를 이용해 표시하게끔 코드를 짜야했다.프로젝트의 내용을 Verilog ... st3: next_state=st4;st4: next_state=st5;st5: next_state=st6;st6: next_state=st7;st7: next_state=st8;st8 ... 'd3,st4=8'd4,st5=8'd5,st6=8'd6, st7=8'd7, st8=8'd8, st9=8'd9;// FSM registeralways @ (posedge clock)begin
    리포트 | 11페이지 | 3,500원 | 등록일 2005.03.30
  • verilog program 00부터99카운터(counter) 7-segments LEDs에 디스플레이(Display)
    (c,clr,{comA[3],comA[2],comA[1],comA[0]},{w0[3],w0[2],w0[1],w0[0]});decoder stage1({w0[3],w0[2],w0[1] ... clk, clr, comA, out);input clk, clr;output [3:0] comA;output [6:0] out;wire [3:0] w0;wire c;counter stage0 ... Out=7'b1001100; end5: begin Out=7'b0100100; end6: begin Out=7'b0100000; end7: begin Out=7'b0001101;
    리포트 | 3페이지 | 1,500원 | 등록일 2008.05.15
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • 전시회 관람자에게 팜플릿을 주기 전에 시스템에 팜플릿을 통과시키면 전시회 관람자의 수가 7-segment에 출력되는 시스템
    2- BCD counter와 BCD to 7-segment decoder 1의 부분을 참조하여 설계한다.4-2-6 7-segment 2- 7-segment 1 부분과 같이 74LS48에 ... 10, 9, 15, 14는 출력으로 저항을 통해서 7-segment에 연결된다.4-2-3 7-Segment 1- SR-1056K common-cathode 7-segment는 pin ... -1 전체 구성도팜플릿검출부BCD 카운터와BCD to7-segmentdecoder17-segment1펄 스발생부BCD 카운터와BCD to7-segmentdecoder27-segment25V전원부LED구동부4
    리포트 | 24페이지 | 1,500원 | 등록일 2010.06.25
  • [논리회로] 7 - segment 입력기
    왜냐하면 논리 합성(logic synthesis)과정을 통해서 CAD 도구가 자동으로 게이트 수준으로 바꾸어 주기 때문이다.3. 예비 리포트 사항1. ... 각각의 위치는 그림 7-1과 같다.a{f bg{e cd그림 7-1. 7-세그먼트7-세그먼트는 크게 두 가지로 나눈다. ... 공통 음극과 공통 양극 7-세그먼트의 내부 회로를 그림 7-2와 그림 7-3에 그려 놓았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2002.11.24
  • [마이크로프로세서][AVR] ATmega8 을 이용한 7-segment 습도계 HS1101,HS1100 (atmega128)
    5#define bit6 6#define bit7 7/********************************************************************** ... MCU - ATmega8?습도센서(HS1101)를 이용한 습도계 구현?시리얼 통신을 이용하여 습도 확인 가능2. 회로도3. 작동 설명? ... ***************************/void main(void){/* 장치 초기화 */avr_init();timer_init();uart_init();/*SREG I-bit
    리포트 | 20페이지 | 8,000원 | 등록일 2007.04.15
  • [8bit] 8bit full-adder 와 HEX 7-segment 논리회로 설계 및 시뮬레이션
    ◉시뮬레이션 ▶입력 : 8bit 2개 10ns에 일제히 입력됨 ▶출력 : s0, s1, s2, s3, s4, s5, s6, s7 ▶입력들어간 시간 : 10ns ▶최종출력이 나온 시간 ... : 17.6 ns (s4가 제일 늦게 나오는 이유를 모르겠음) ▶출력이 안정되는데 까지 걸리는 시간 : 약 7.6ns
    리포트 | 2페이지 | 1,000원 | 등록일 2004.04.10
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 7segment decoder 예비
    segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.74LS47 디코더의 경우 common anode이기 때문에 common ... anode형7-segment를 이용해야 한다. ... 아날로그 및 디지털회로 설계 실습12주차 예비: 7-segmaent / Decoder 회로 설계전자전기공학부20160000 하대동고릴라1. 7-segment/Decoder 진리표아래
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 고려대학교 디지털시스템실험 A+ 5주차 결과보고서
    Binary to 7-segment를 구현할 때에 저번 시간에 만들었던 binary to BCD를 사용하였고, 이렇게 만든 Binary to 7-segment 함수를 이용해 7-segment ... 이번 실험을 통해 7-segment의 8자리가 어떻게 동시에 보여지는지 알 수 있었습니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • [A+] 중앙대 아날로그 및 디지털회로 설계실습10 7-Segment/Decoder 회로 설계 예비보고서
    -3 7-Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.09.06
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9]4) BCD-to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... 사용 부품1) 74LS477-segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. ... 이때, 330Ω의 저항을 중간에 연결해야 7-segment가 안정적으로 동작한다.[그림 13]진리표는 [그림 14]와 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • Counter 회로제작 (기초공학실습, KAIST)
    다음회로도와 같이 연결하시오.74LS47 과 7-segment의 같은 기호끼리 연결하시오2. ... RESULT WITH ERROR ANALYSIS (or GRAPH)CK pulse 에 따른 A,B,C,D 점에 대한 상태.- 실제로 7-segment-display의 동작을 확인하였다 ... 만든 것이 있다.BCD의 입력 값을 이용한 segment output의 digit 값 테이블은 아래와 같다.3.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 디지털 논리회로 3주차 예비보고서
    segment의 기능을 할 수 있다. 8이 출력된다는 것은 7-segment를 이루는 모든 LED가 켜졌다는 것이다. ... 따라서 입력 ABCD는 0111이 되어야 7이 출력이 된다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오.516174477447은 ... 즉, LT기능을 통해서 7-segment의 LED가 모두 정상작동 하는지를 확인할 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 아날로그및디지털회로설계실습_예비보고서10_7segment&decoder
    -3 7-Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.-74LS47의 Datasheet-7-Segment 구동회로Inverter ... 아날로그 및 디지털 회로설계 실습예비보고서(설계실습 10. 7-segment / Decoder 회로 설계)10-1. ... 설계실습 계획서10-3-1 7-Segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefGdisplay0000********
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.17 | 수정일 2020.11.27
  • 7 segment Decoder회로설계
    아날로그 및 디지털회로 설계실습예비 REPORT10. 7-segment / Decoder 회로 설계분 반교 수 명실험 날짜제출 날짜조학 번이 름요약 : 7-segment와 Decoder를 ... 서론7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. 실험결과10-3. ... 결론7-segment와 Decoder를 이해하고 관련 회로를 설계한다.참고 자료를 올려주신 조교님께 감사의 말씀을 드립니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 3 점을 포함한 8비트 신호의 7-segment 설계앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 작게 ... 실습 2 Piezo, 7-segment 설계‘도레미파솔라시도’의 8음계를 Piezo와 7-segment를 통해 출력하는 로직의 설계를 동시에 하는 것이 실습 2이다. ... 통해 설정하였다.이후 들어오는 입력값에 대응하여 7-segment의 출력값을 또 case문을 통해 설정하였다.
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 1
    7-segment- 위의 사진은 7-segment로, 7개의 마디와 1개의 점 및 10개의 핀을 가지고 있다.- 7-segment는 애노드 공통형과 캐소드 공통형이 있으며, 애노드 ... 7-segment는 7개의 마디와 1개의 점으로 이루어진 표시장치이다. ... 실험목표① 7-segment의 구조를 살펴보고, 동작을 실험한다.② 7447 디코더의 동작을 살펴보고, 동작을 실험한다.③ 7-segment와 7447 디코더로 구성한 10진수 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:52 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대