• 통큰쿠폰이벤트-통합
  • 통합검색(14,153)
  • 리포트(12,896)
  • 시험자료(792)
  • 방송통신대(224)
  • 자기소개서(118)
  • 논문(76)
  • 서식(28)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8bit" 검색결과 101-120 / 14,153건

  • 논리회로설계실험 8주차 register 설계
    4.1) 8-bit Register8-bit register의 출력 wave는 위와 같이 나왔다. ... ]에 한 bit씩 출력시킴을 확인할 수 있다.3.2) 8-bit shift register (Structural modeling)8-bit shift register도 마찬가지로 1 ... 입력해주었다.8-bit register의 test plan 코드는 위와 같다. 8-bit register는 RST = 1’b0일 경우에 IN[7:0]에 20ns 간격으로 8’b11001010
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • [논리설계] 연습문제 2장 풀이
    컴퓨터에서 해밍 코드 사용중이고 저장할 데이터가 10010100(1) 검사 비트: p1 = 1, p2 = 1, p4 = 0, p8 = 1 ... : 1101(2) 1의 보수: 0011 / 2의 보수: 0101(3) 1의 보수: 0000 / 2의 보수: 0000(4) 1의 보수: 1000 / 2의 보수: 10012.16 - 8비트 ... + (-14) = 101115(00101) + -14(10010) = -9(10111)14= 01110 보수를 취하면 100109= 01001 보수를 취하면 101112.22 - 8비트
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.31
  • 디지털시스템설계실습_HW_WEEK8
    .16x8bit Register file 은 4bit의 address, 8bit의 data크기, 16개의 저장공간을 갖고 있는 구조였고, 이는 wr_enable이 활성화 되었을 때, ... .• Discussion이번 과제는 16x8bit Register file, Shift Register, Shift Register with Parallel Load를 구현해보는 시간이었다
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.11
  • 디지털 논리회로 실험 9주차 Shift Register 예비보고서
    실험 기기 및 부품8-bit Serial-in Parallel-out Shift Register 74164, D Flip-flop 7474, NOT 74045. ... 실험 준비8-bit Serial-in Parallel-out Shift Register 74164의 data sheet를 확인하고bar { MR}의 역할에 대하여 설명하시오. ... Serial-in Parallel-out Shift Register 74164 역시 기본 실험 (1)의 4-bit Serial-in Parallel-out 시프트 레지스터처럼 클럭이
    리포트 | 11페이지 | 1,500원 | 등록일 2021.04.22
  • 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. ... 실습 1 4-bit up counter의 출력 값 single FND 표시위는 4비트 업카운터의 코드이다.4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 있는 ... 출력하였고, 오른쪽은 8비트 출력을 하되 점을 빼서 출력하였다.숫자 7과 8도 점을 빼서 8비트 segment 값으로 출력하였다.4.
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 컴퓨터 구조론 연습문제 풀이 5장 11,13,14번
    4K X 8비트 ROM 칩들과 네 개의 2K X 8비트 RAM칩들을 이용하여 기억장치시스템을 구성하고자 한다. ... 직렬연결 하는데 병렬연결된 칩들이 2개로 총 8개가 필요하고 ROM칩은 512K X 8비트 칩은 512K X 16비트 칩으로 병렬연결 하는데 2개가 필요하고 1M X 16비트 칩으로 ... 컴퓨터 구조론(교재 연습문제 풀이)5.11) 1K X 8비트 RAM칩들을 이용하여 2K X 16비트 기억장치 모듈을 구성하는 회로를 설계하고, 각 칩에 할당된 주소 영역을 2진수로
    시험자료 | 5페이지 | 2,000원 | 등록일 2020.05.18
  • 1-1.ICND Basic
    bits8 bits8 bits8 bitsIP 주소 ClassesA Class : 0.0.0.0 ~ 127.255.255.255 (미국) B Class : 128.0.0.0 ~ 191.255.255.255 ... (/24), Host 주소 bit8bit 인데 2bit를 subnetmask 로 할당 255.255.255.192/26 C Class 211.41.187.0 Major 네트워크를 ... (6)Window (16)Checksum (16)Urgent (16)Options (0 or 32 if any)Data (varies)20 BytesBit 0Bit 15Bit 16Bit
    시험자료 | 52페이지 | 2,000원 | 등록일 2021.01.06
  • 논리회로실험 병렬 가산기 설계
    입력 A와 B를 8비트의 0으로 초기화 시켰고, 캐리 값 C_in 또한 초기값을 0으로 설정하였다. ... 동작적모델링의 파형으로 이번 예비실험의 경우 덧셈을 하는 자리값이 8bit를 넘지 않아, 9bit의 Carry값이 표현되지 않음을 C의 값으로 확인 할 수 있었다.? ... 전가산기를 먼저 작성하여 병렬 가산기의 전가산기 논리기호를 사용 가능하게 하였고, 그를 이용하여 8bit 가산기를 만들었다.2) 테스트 벤치 코드?
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 디시설, 디지털시스템설계 실습과제 11주차 인하대
    (32bit)그림 SEQ 그림 \* ARABIC 8 : report analysis를 위한 코드(32비트 CLA의 경우 비트 수만 조정)결과분석 및 고찰우선 parameter를 사용해 ... (모듈코드는 4bit 코드에서 parameter만 32로 수정)그림 6 : 테스트 벤치 코드그림 7 : 모듈구현 결과(너무 커서 축소해 캡쳐했습니다.)그림 8 : 실제 net list ... 4bit CLA그림 SEQ 그림 \* ARABIC 1 : 모듈 코드(32비트의 경우 parameter만 수정)그림 SEQ 그림 \* ARABIC 2 : 테스트 벤치 코드그림 SEQ
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    대한 자세한 설명을 하고자 한다.입력으로 clk, 8bit binary 그리고 출력으로 자리 수 변수와 segment에 나타날 값을 변수설정▼입력한 8bit binary를 hundreds ... 를 제어하는 8-bit 신호 (‘점‘을 포함) out 을 출력하는 모듈설계 조건codeCombo box 실험결과(4) 실습4FND array 를 제어하기 위하여 다음과 같은 선언부 ... 점을 포함하기 위해선 8bit로 맨 뒤에 점을 의미하는 부분을 추가하여 1을 입력하면 된다.실험4) FND arrayFND array이는 single FND가 4개가 붙어있는 형태이다
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 논리회로설계실험 10주차 up down counter설계
    S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output과 next_state도 마찬가지로 3-bit가 필요할 것이다.2.2) Mealy ... S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output도 마찬가지로 3-bit가 필요할 것이다.3) Verilog Implementations ... (코드 실행)3.1) Moore machineMoore-machine style로 3-bit up-down counter를 구현한 코드는 위와 같다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    4// os_COM은 8비트 출력이다.//5// oS_ENS는 7비트 출력이다.//6// 5비트 벡터 Sum 을 선언한다.//7// P9~P0를 선언한다.//10// adder_4bit ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. ... f2, g2;//10// adder_4bit X(Ain,Bin,Sum);//11//binary_to_BCD (Sum[3], Sum[2], Sum[1], Sum[0],//12//P9,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • fpga bcdconverter
    우선 입력이 8bit이므로 8bit로 선언해주고 출력은 3비트 이므로 3비트로 선언해준다. 여기서 always구문을 사용하기 위해서 data를 reg타입으로 설정해준다.If(! ... 입력을 8bit크기의 a, 출력을 3bit 크기의 data로 설정하였고우선 초기상태부터 30ns까지 a의 최상위비트(enable bit)를 0으로 설정하였고40ns부터 110ns까지는 ... 본 설계에는 입력을 8비트로한다.1. Binary number를 왼쪽으로 1bit씩 shift 한다.2.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.05.05
  • 8장 순차논리회로 설계 및 구현(2) 예비
    [그림 8-8] 4비트 병렬입력/병렬출력 시프트 레지스터의 구조3. 예비보고가. ... [그림 8-3] 2비트 상향 카운터의 상태도 [그림 8-4] 2 비트 하향 카운터의 상태도5) 직렬 카운터와 병렬 카운터그림 8-1의 카운터 구조는 가끔 동기식 직렬 카운터라고 불리는데 ... 이 신호를 이용하면 ’163을 직렬로 연결하여 8비트 이상의 카운터를 만들 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 한기대_디지틀시스템 설계 및 실습_과제7_CPU 제작(보고서 및 소스 포함)
    로써 ‘DATAPATH’의 상태 출력 값으로 나온다.- MUX C→ 2 to 1 멀티플렉서로서, IR에서 분배된 7bit의 Opcode 값에 0을 최상위비트에 추가한 8비트의Opcode ... .- MUX S→ 8 to 1 멀티플렉서로서, 8개의 입력 값은 0, 1, V, C, N, Z, ~Z, ~C 이고 선택신호는 3비트의 MS 이다.V, C, N, Z 는 각각 1비트 ... 출력 값에 더해주고, 무조건 분기일 경우 DR의 최상위비트를 제외한 나머지 값, SA, SB 8비트가 출력 값이 된다. - IR(Instruction Resister)→ 현재 실행되는
    시험자료 | 20페이지 | 10,000원 | 등록일 2020.11.04
  • 시립대 전전설2 Velilog 결과리포트 3주차
    4 1bit Full Adder (Only Behavioral Modeling)6. 토의7. 결론8. 참고 문헌1. ... 비트연산자 모델링이란 모듈의 input과 output을 설정해준 후 연산자, 즉 게이트 설계를 비트연산자를 사용하여 설계하는 것이다. ... 벡터란 범위지정[msb:lsb]을 갖는 다중 비트의 자료형이며 기본적으로 unsigned로 취급한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    8~+7을 벗어나면 계산 결과값을 4-BIT로 표현할 수 없고 이 경우를 정수 오버플로우라고 한다. 3번째 비트에서의 CARRY와 4번째 비트에서의 CARRY를 XOR로 묶으면 오버플로우가 ... 8 ~ +7 안에 있을 때는 예상 계산식대로 결과가 맞게 나왔으며 그 범위를 벗어날 경우 4-bit로 표현가능할 수가 없어 (4-bit일 때 1011(2) = -5, 8-bit 일 ... 이진수로 표현되는 정수 A, B (A: A4 A3 A2 A1 B: B4 B3 B2 B1) 두 비트를 더하거나 빼는 것이 가능한 4-bit 가감산기를 설계하였다.2x1 MUX를 이용하여
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    bit이기에, 216 * 8 = 64k * 8bits = 512kbits의 용량을 갖게 된다.위의 그림은 EPROM의 내부 구조를 보여준다. ... 하지만 이는 너무 높은 주파수라서, 8-bit counter인 CB8CE를 이용하여 frequency를 divide(분주)해야 한다.그림1-2 CB8CE의 Logic TableCB8CE의 ... 일단 8-bit counter인 CB8CE를 사용한다면, clock의 frequency를 8M/(28), 대략30kHz로 줄일 수 있다.위 그림과 같이, counter 출력의 MSB인
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 부경대 디지털 회로 3장 과제
    예제 3-24. 4-비트 리플 캐리 가산기의 계층적 VHDL-- 4-bit Adder: Hierarchical Dataflow/Structural-- (See Figures 3-42 ... 하나의 3-to-8-라인 디코더와 하나의 8×2 AND 게이트와 하나의 OR 게이트를 사용하여 8-to-1-라인 멀티플렉서를 설계하라.연습문제 3-44. ... bar{Z}} +YZ#F _{3} =YZ+XY#F _{4} = {bar{X}} Y+XY {bar{Z}} 그 회로를 디코더 1개와 외부 OR 게이트들로 설계하라.문제풀이> 3-to-8
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    제어, 4-bit bcd 입력을 받아서 single FND를 제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈, FND array를 제어, 8-bit 2’s complement ... 장비 동작 확인 과정에서 Bus SW1~8을 사용하여 8-bit binary 입력을 주면 -128~127의 숫자가 FND array에 출력으로 표현되는 것을 확인할 수 있다.- [실습 ... bcd 입력을 받아서 single FND를 제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈을 디자인하시오.Source codeTestbenchPin testbench
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:52 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대