• 통큰쿠폰이벤트-통합
  • 통합검색(1,169)
  • 리포트(1,128)
  • 시험자료(22)
  • 자기소개서(13)
  • 논문(4)
  • 방송통신대(2)

"Flip Flop" 검색결과 101-120 / 1,169건

  • Flip Flop의 기능 및 구조, 작동
    따라서 이 FF의 이름은 Reset-Set Flip Flop이 되는 것이다. 그리고 Q'는 항상 Q의 반대 레벨이다. ... HW2-Flip Flop의 기능 및 구조, 작동-(1) 일반적 기능, 구조, 작동플립플롭은 두 가지상태 사이를 번갈아 하는 전자회로를 말한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.14
  • 실험6. Flip-Flop을 사용한 7-Segment LED의 구동
    처음에 3개의 7-segment LED를 모두 OFF스위치가 눌리지 않았을때 O~F까지 순서대로 증가하면서 반복스위치가 눌리면 상태 정지스위치가 눌리지 않은 상태에서 스위치가 눌리면 B=2로 setting스위치가 눌린 상태에서 상태가 정지하고 있다가 스위치가 한번 더 ..
    리포트 | 31페이지 | 3,000원 | 등록일 2014.08.30
  • Logic, R-S Flip-flop, Counter 예비/결과보고서
    실험1 R-S Flip flop1.디지털 회로에서는 사용하지 않는 단자는 open상태가 아닌 적절한 논리상태로 유지해줘야 한다.2.S-R Flip Flop 회로는 NAND를 이용하며
    리포트 | 4페이지 | 1,000원 | 등록일 2015.02.08
  • Sequential-Logic-Design-Ⅰ-Flip-Flop, Register and SIPO
    Post-Lab Report- Title: Lab#06 Sequential_Logic_Design_Ⅰ@ Flip-Flop, Register and SIPO -담당 교수담당 조교실 험
    리포트 | 21페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • SEQUENTIAL LOGIC ELEMENTS- FLIP­FLOPS &REGISTERS
    SEQUENTIAL LOGIC ELEMENTS- FLIP­FLOPS ®ISTERSIntroductionThis lab is the first lab that deals with ... with active low synchronous clear input which will force the flip­flop state to 0 synchronous with the ... learn and understand the usages of these new materials.Use combinational logic elements to design a D flip­flop
    리포트 | 13페이지 | 1,000원 | 등록일 2012.02.11
  • D flip-flop 결과 보고서
    기초회로실험 결과보고서< D flip-flop >* 실험목적실험을 통해 Preset 과 Clear, Clock 이 있는 D flip-flop 의 원리를 알아본다.* 관련이론flip-flop은 ... D flip-flop 은 clear 와 preset 입력을 가지는 D flip-flop을 말한다. ... Clear=0 이면 flip-flop은 0 으로 reset 되고, Preset=0 이면 Q=1 이 될 것이다. 이 입력들은 Clock이나 D입력에 우선한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2010.11.17
  • 결과05_Latch&Flip-flop
    서약합니다.학 부: 전자공학부제출일: 10.10.25 (월)과목명: 논리회로실험조교명: 유창승분 반: 월F학 번: 200920148성 명: 이슬기200920148_이슬기_결과05_Latch&Flip-flop.hwpI
    리포트 | 4페이지 | 3,000원 | 등록일 2010.11.04
  • flip-flop and counter design(결과)
    Experiment Result(1) Designing and verifying of JK flip-flop and D flip-flop① JK flip-flop- WaveformThe ... JK flip-flop is synchronized when the clock is at the positive or negative edge. ... - WaveformThe D flip-flop is synchronized when the clock is at the positive or negative edge.
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 논리 gate (Flip-Flop) 프리젠테이션
    RS Flip-Flop Clocked RS Flip-Flop (두 개의 Nor gate를 그림과 같이 교차 결합시켜 구성하면 됨)예상 진리표RS FlipFlop 실험 방법1) ... D FlipFlop 실험 결과1번 그림 2번 그림preset과 reset을 갖는 D Flip-Floppreset과 reset을 갖는 D Flip-Flop(참고. preset가 ... RS FlipFlop이란?
    리포트 | 59페이지 | 5,000원 | 등록일 2009.06.21
  • flip-flop and counter design(예비)
    However, the circuit maprevious flip-flop, and the synchronous method where all the flip-flops are triggered ... It is easily accomplished by changing the input K of Flip-flop A from D to C. ... ObjectiveTo understand the operating principle of various kinds of flip-flops, and design counters based
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • 전자회로 플립플롭(flip - flop)
    Flip-Flop의 동작 특성을 이용하여 serial-to-parallel register를 VHDL로 구성해 보고 확인한다.Level trigger & edge trigger0과 ... 전자전기컴퓨터설계실험2Postlab ReportF/F and S-P conversion제출일자학 과담 당 교 수담 당 조 교조원학 번이 름IntroductionFlip-Flop의 종류 ... Buffer register는 입력과 출력이 서로 다른 CLK을 갖는, 즉 처리 속도가 서로 다른 2개의 장치 사이에서 잠시 저장해 두었다가 쓸 수 있는 기억장치이다.R-S Flip
    리포트 | 15페이지 | 1,500원 | 등록일 2010.04.25
  • D Flip-Flop을 이용한 2진 계수 설계
    입력에 따른 회로의 동작(단,clock pulse : 1Hz)- 입력이 0인 경우 Flip-Flop의 출력을 측정하여 도시[첨부1]출력파형 첨부- 입력이 1인 경우 Flip-Flop의 ... D Flip-Flop을이용한 2진계수 설계전자공학부2009 . 6. 81. 과제명D 플립-플롭을 사용한 2-비트 2진/그레이코드 카운터 설계2.
    리포트 | 8페이지 | 3,500원 | 등록일 2011.12.01
  • Digital Circuit1-Digital Gate & Flip-flop(결과)
    대신에 RS Flip-flop은 NAND Gate 4개로 구성할 수 있다.DAQ의 PO.1에서 나온 값을 PIN1에 연결한다. ... 회로 칩은(74LS74)와 같다.74LS74의 Data Sheet는 위에 나타내었다.Flip-Flop은 클록신호(CLK)가 들어올 때 작동한다. ... 회로 칩은(74LS76)와 같다.74LS76의 Data Sheet는 위에 나타내었다.Flip-Flop은 클록신호(CLK)가 들어올 때 작동한다.
    리포트 | 17페이지 | 1,000원 | 등록일 2013.03.31
  • D와 JK 플립플롭 실험 레포트(D and JK Flip-flop)
    D 및 JK 플립플롭(D and JK flip flop)목적D, T, JK 플립플롭의 동작원리를 살펴보고 측정을 통하여 그 특성을 확인한다.이론(1) D 플립플롭(D Flip Flop ... (a)(b)(c)DQ_{ n+1}0011(그림 1) D 플립플롭(2) T 플립플롭(T Flip Flop)T 플립플롭은 토글 플립플롭(Toggle Flip Flop) 또는 트리거 플립플롭 ... )D 플립플롭은 RS 플립플롭에 약간의 변형을 가한 것으로 데이터 플립플롭(Data Flip Flop) 이라고도 한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2013.11.20
  • Positive edge triggered master-slave D flip flop 설계보고서
    .(3)Clear△Preset Clear 입력의 D flip flop 진리표(4) Positive edge triggered D flip flop의 동작 특성· flip-flop : ... D flip flop 시뮬레이션/결과a.논리 회로도Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 작동을 ... 시뮬레이션 결과시뮬 분석이번에 실험할 설계는 Positive edge triggered D flip flop으로 edge triggered flip flop은 게이트 상호간의 작은
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • 기초 회로 실험 보고서 9장(결과)-플립플롭,카운터,시프트레지스터FLIP FLOP, COUNTER, SHIFT REGISTER
    6.결과 보고서※각 항목에 반드시 검토 및 토의 내용을 작성하여 제출할 것.실험일시실 험 조 (09조)공동 실험자공동 실험자학번성명학번성명학번성명실험(1)SW1=ON 상태 SW2=ON 상태* 검토 및 토의 사항이번 실험에서 스위치를 그라운드로 연결하여 평소에는 5V가 ..
    리포트 | 2페이지 | 2,000원 | 등록일 2016.12.06
  • 실험5결과[1].Latch&Flip-Flop
    Latch와Flip-Flop 결과 PAGE \* MERGEFORMAT - 1 - ... R-S F/F 출력파형- 이전 실험에서 만들었던 R-S latch 회로에 클럭 요소를 넣어서 memory 기능을 가지고 있는 Flip-Flop 소자를 만들어보는 실험이었다. ... 이 회로에서 두 입력이 모두 1일 때 값을 기억하는 기능을 이용해 다음 실험에서 memory 기능이 있는 기억소자 Flip-Flop의 회로를 만들 수 있다.클럭 입력을 가진 R-S
    리포트 | 4페이지 | 1,000원 | 등록일 2011.06.27
  • 실험 5. 래치와 플립플롭(Latch & Flip-Flop)
    래치와 플립플롭(Latch & Flip-Flop) >< 목 적 >여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.< 질문사항 >(1) NAND gate를 이용하여 ... 번갈아 가면서 바뀌므로 어떤 신호가 반복적으로 변화하는 예를 들면 반짝반짝 하는 것이나, 선택과 해제 등을 번갈아 가며 하는 기능에 사용된다.(4) Latch와 flip-flop의 ... 차이점을 설명하라.latch 와flip-flop 순서논리회로 소자로서 1비트를 저장하는 용도로 메모리 역할을 하지만 그 저장하는 시기가 다르다.입력되는 신호 D가 출력 Q가 되기 위한
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • 실험5예비[1].Latch&Flip-Flop
    위에 회로는 CLK에 Bubble이 있기 때문에 T가 Falling Edge일 때 Toggle로 동작한다.Latch와 flip-flop의 차이점을 설명하라.Latch나 Flip-flop ... 목 적Flip-Flop의 기본이되는 R-S F/F을 비롯한 여러 종류의 F/F을 구성해보고 동작 특성을 실험을 통해 알아본다.2. ... Latch와 Flip-flop의 동작기호를 보면 Latch인지 F/F인지 바로 구분이 되는데, 사각형 IC 테두리 내에 삼각형으로 Edge Trigger 표시가 있으면 그 IC는 F
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.27
  • Positive edge triggered master-slave D flip flop 설계결과보고서
    flop 이 나왔고 이의 응용형태인 Master slave D flip flop, positive edge triggered D flip flop 등등이 나왔다.DCP/enableQbar ... Q0무불 변0유011무불 변1유10우선 D flip flop은 단일입력을 갖고 있지만 출력은 두 개인 소자를 말하고, RS flip flop을 기본구조로 하여 만들어지는 것을 알고 ... 또한 latch와 flip flop은 가장 기본적인 기억소자로, 일반적으로 pulse 지속시간에서 작동하는 flip flop을 latch라 하고, pulse transition에서
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대