• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(218)
  • 리포트(188)
  • 논문(16)
  • 시험자료(11)
  • 자기소개서(3)

"HSI" 검색결과 101-120 / 218건

  • 사회적 자본 ISO 26000
    enc=utf8 section=kin rank=1 search_sort=0 spq=0 pid=SETOMdoRR0GssvTu72wssssssso-105432 sid=Xj4SyLiU0hsI
    리포트 | 21페이지 | 2,000원 | 등록일 2019.06.10
  • 반가산기 & 전가산기 예비보고서
    isport (X,Y : in STD_LOGIC;S,C : out STD_LOGIC);end A9_KSH_HSY;architecture Behavioral of A9_KSH_HSY ... ) 소스 코드 (동작적 모델링 / 자료흐름적 모델링)동작적 모델링자료 흐름 모델링library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A9_KSH_HSY
    리포트 | 6페이지 | 1,000원 | 등록일 2014.07.25
  • 장비 개꿀 자료
    -단상, 3상, 브러시리스, 공기구동, 구동장치내장형정류회로로 전압을 흘려보내는데 일정치 않으면 조절해주는 회로-안정화회로HSI(수평상황지시계)는 자이로의 무슨 성질을 이용? ... 누설오차가 적다. , 온도오차가 거의없다. , jet 경우 shock마운트가 필요하다. )오토파일럿 엘리베이터 채널-Roll축 , yaw축 , Lateral축 , Longitudinal축HSI
    시험자료 | 7페이지 | 1,500원 | 등록일 2019.07.29
  • [전자회로실험 예비레포트]공통 이미터 트랜지스터 증폭기
    ||R _{2} + beta r _{e}}교류동적저항(Re)r _{e} = {26(mV)} over {I _{EQ} (mA)}참고문헌http://blog.naver.com/710hsy
    리포트 | 2페이지 | 2,000원 | 등록일 2017.06.10 | 수정일 2019.01.07
  • 조합회로 설계 예비보고서
    ;architecture Behavioral of A9_HSY_KSH isbeginprocess (A,B,S)begincase S is when "000" => Y Y Y Y ... 가진 ALU설계(1) 소스코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_unsigned.all;entity A9_HSY_KSH ... STD_LOGIC_VECTOR (3 downto 0);S : in STD_LOGIC_VECTOR (2 downto 0);Y : out STD_LOGIC_VECTOR (4 downto 0));end A9_HSY_KSH
    리포트 | 5페이지 | 1,000원 | 등록일 2014.07.25
  • 항공 전자계기의 종류별 구성 및 작동기능
    그러므로 HSI의 중앙에 있는 항공기 모형은 목표로 하는 진행 방향선에 대한 상대적인 방향을 나타내게 된다.4. ... 수평 상태 지시계수평 상태 지시계(HSI:Horizontal Situation Indicator)는 조종사 계기점사 가삥 보기 쉬운 앞면 중앙에 장치되어 있다. ... 이 밖에도 핵심적인 전자 지시 계기들로는 비행 자세 지시계(ADI), 수평 상태 지시계(HSI), 무선 자기 지시계(RMI) 등이 있고, 최근의 장비로는 전자식 총합 지시 계기가 있다
    리포트 | 7페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2017.07.26
  • 디코더, 인코더 설계 예비보고서
    architecture Behavioral of A9_KSH_HSY isbeginprocess(I)beginif I = "000" then O ... isport (I : in STD_LOGIC_VECTOR (2 downto 0);O : out STD_LOGIC_VECTOR (7 downto 0) );end A9_KSH_HSY; ... 'I3O6 = I1I2I3'O7 = I1I2I3(1) 동작적 표현 (if문 사용)library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A9_KSH_HSY
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • 2016학년도-항공 전자계기의 구성 및 종류별 작동원리에 대하여(아세아)
    수평 상태 지시계(HSI : Horizontal Situation Indicator)1) 현재 비행 상태에서의 기수의 방위를 지시한다. ... 계기의 가운데에 고정되어 있는 비행기 그림은 현재의 비행 방향을 나타내며, 기준이 되는 방위가 자방위일 때에는 HSI 지시기의 상부에 MAG 표기가 나타나고, 진방위일 때에는 TRUE로
    리포트 | 7페이지 | 1,000원 | 등록일 2016.10.10 | 수정일 2017.05.11
  • 폐플라스틱의 혁신적 분류 및 분리기술 개발
    [초록]우리나라의 도시 폐플라스틱 분류에서는 음폐물과 더불어 플라스틱과 PET로만 분류하고 있다. 이러한 분류 시스템의 시행 당시부터 플라스틱 재활용 업계에서는 폐플라스틱 분류・분리기술 개발의 장애현상을 일으킨다고 제언했었다. 그러한 예상이 오비이락으로 맞았는지는 모르..
    리포트 | 15페이지 | 2,000원 | 등록일 2015.10.15
  • 순차회로 설계 예비보고서
    _HSY_KSH of behavioral issignal jk : std_logic_vector(0 to 1);beginjk ... isPort ( J, K, CLK, PR, CLR : in std_logic;Q, Q_bar : inout std_logic);end A9_HSY_KSH;architecture A9 ... 상태도(4) 논리 기호(5) Nor 게이트를 이용한 JK F/F 회로도(6) VHDL 코딩library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity A9_HSY_KSH
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 해피1계기 항공 전자 계기의 종류별 구성 및
    지상 무선국과의 위치 관계를 지시함으로써 비행기의 현재 위치를 조송사가 파악하게 하여 비행에 안전을 유지하게 한다.RMI는 집합계기 중 가장 많이 사용된다.3.수평 상태 지시계(HSI
    리포트 | 5페이지 | 1,000원 | 등록일 2016.11.28
  • 항공전자계기의 종류별 구성 및 작동기능에 대하여 설명하시오
    HSI, Horizontal Situation IndicatorHSI는 자기컴파스에서 받은 자방위와 VOR · INS에서 받은 비행코스와의 관계를 나타내는 계기이다. ... CRT상에는 풍향, 풍속, 대지 속도(ground speed), 구름 등이 지시되고 해당 항공기의 코스와 악천후의 위치와의 관계를 쉽게 포착할 수 있도록 되어 있다.또, ND는 HSI
    리포트 | 6페이지 | 1,000원 | 등록일 2015.11.23
  • 엔진 ON CONDITION 방식 개요와 상태감시종류
    특히 HSI(HOT SECTION(연소실,터빈,배기) INSPECTION)과 CSI(COLD SECTION(흡입구, 팬, 압축기등) INSPECTION) 등을 수행했다.요즘에는 엔진들의
    리포트 | 7페이지 | 1,500원 | 등록일 2016.10.28
  • 철학적 지혜와 인생의 만남 - 주희의 생애와 사상
    철학적 지혜와 인생의 만남주희의 생애와 사상학과:학번:이름:담당교수: 교수님1.철학자의 생애주희 (朱熹): (병)Zhu xi (웨)Chu Hsi. 1130. 10. 18 중국 푸젠
    리포트 | 10페이지 | 1,000원 | 등록일 2019.04.03
  • 우리나라 파생상품의 현황과 사례 및 분석 - ELS 불공정 거래
    다만, 최초의 주가지수 선물이 미국에서 등장한 것이 1982년이고 아시아에서는 홍콩의 HSI 선물이 1986년에 처음 거래 되었으니 한국파생상품시장은 미국에 비해 14년, 홍콩에 비해
    리포트 | 5페이지 | 1,500원 | 등록일 2016.11.21 | 수정일 2017.10.20
  • 항공전자계기의 종류별 구성 및 작동 기능에 대하여 설명하시오.
    HSI, Horizontal Situation IndicatorHSI는 자기컴파스에서 받은 자방위와 VOR · INS에서 받은 비행코스와의 관계를 나타내는 계기이다. ... CRT상에는 풍향, 풍속, 대지 속도(ground speed), 구름 등이 지시되고 해당 항공기의 코스와 악천후의 위치와의 관계를 쉽게 포착할 수 있도록 되어 있다.또, ND는 HSI
    리포트 | 4페이지 | 1,000원 | 등록일 2015.03.31 | 수정일 2016.04.26
  • 항공계기에 관하여 논하시오.
    입력 정보 중 FMC(flight management computer), 기상 레이더 등의 정보는 IDU에 직접 입력되고 있다.단, 계기의 CRT화의 제일단계는 종래의 ADI와 HSI의 ... 종래의 기계식 ADI와 같은 지시로 전파 고도계(radio altimeter) 지시, 대지 속도(air speed) 지시 등을 부가하여 CRT에 지시를 꾀한 것이다.EHDI는 종래의 HSI
    리포트 | 4페이지 | 1,000원 | 등록일 2014.11.30 | 수정일 2021.05.05
  • 80C196KS의 내부 및 외부구조
    시 오버런 에러발생 안함)-송신부 2중 버퍼 (연속적 데이터전송 가능)u Timer- 2개의 16bit Timer- Timer1(내부), Timer2(외부클럭 소스로사용가능)u HSI ... /O- 빠른 I/O 처리- 펄스 폭을 측정, 파형 발생 및 주기적인터럽트를 발생가능- HSI (타이머1을 이용 8 State Time 단위로 외부발생사건을 기록)- HSO(타이머1,2를
    리포트 | 7페이지 | 1,000원 | 등록일 2014.06.12
  • 항공전자 계기의 종류
    흰색 바 활주로의 글라이드 슬로프와 인바운드 벡터에 관련된 항공기의 위치를 ​​표시합니다HIS (Horizontal Situation Indicator)수평 위치 표시기 (HSI)는
    리포트 | 5페이지 | 2,000원 | 등록일 2016.11.01
  • 파리(Paris) 러브마크(Lovemark) 요소(관광소비자, 관광마케팅) 분석 보고서
    .□ 어트랙션(감각유산)의 러브마크 요소○ HSI(인간 감각자극 인터페이스)파리의 랜드 마크인 에펠탑은 여행자에게 로망의 대상인 파리를 방문하게 하는 하나의 계기로 자리 잡은 바 있다
    리포트 | 36페이지 | 15,000원 | 등록일 2018.11.09 | 수정일 2022.03.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 06일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:06 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대